CN106062930B - 表面平坦化系统和方法 - Google Patents

表面平坦化系统和方法 Download PDF

Info

Publication number
CN106062930B
CN106062930B CN201480076614.6A CN201480076614A CN106062930B CN 106062930 B CN106062930 B CN 106062930B CN 201480076614 A CN201480076614 A CN 201480076614A CN 106062930 B CN106062930 B CN 106062930B
Authority
CN
China
Prior art keywords
sample
data
processing
cmp
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480076614.6A
Other languages
English (en)
Other versions
CN106062930A (zh
Inventor
尹戈尔·蒂罗韦斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novell Ltd.
Original Assignee
Nova Measuring Instruments Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nova Measuring Instruments Ltd filed Critical Nova Measuring Instruments Ltd
Publication of CN106062930A publication Critical patent/CN106062930A/zh
Application granted granted Critical
Publication of CN106062930B publication Critical patent/CN106062930B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/015Temperature control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Abstract

提出了一种表面平坦化系统。所述系统包括:外部能源,用于在处理区域内生成局部能量分布;以及控制单元,用于操作所述外部能源,以由所述局部能量分布在所述处理区域内产生预定的温度模式,使得所述处理区域的不同位置经受不同的温度。假设与蚀刻材料成分相互作用的样品(例如,半导体晶片)位于所述处理区域内时,所述样品的表面的不同位置处的温度模式通过所述蚀刻材料成分产生不同的材料去除速率(不同的蚀刻速率)。

Description

表面平坦化系统和方法
技术领域
本发明在材料去除技术的领域中,并且涉及一种用于表面平坦化的系统和方法。
背景技术
随着称为“Moors定律”的微电子装置的尺寸的缩放,用于制造这种装置的表面平坦化处理越来越关键。高度集成的半导体装置是晶体管、触点以及更多数量的金属层的堆栈。
使用“双镶嵌”(Dual Damscene)DD处理,制造全后端工艺(BEOL)金属层,该DD处理包括在最后阶段的化学/机械抛光(CMP)。该CMP处理不仅使整个晶片的表面平坦化,而且产生金属线需要的厚度(控制电线的电阻率)。相似的CMP方法用于生成触点、栅极(代替栅极处理),并且计划用于生成代替散热片。在各种制造阶段应用的所有这些表面平坦化处理“停留”在CMP的层类型上,其中,整个处理可以描述为至少两个阶段,其中,在第一阶段(成批去除),通常进行平坦化,直到未到达目标层,并且在第二阶段,对第一阶段产生的表面应用过度抛光,以便确保整个材料去除并且实现目标层的期望厚度。
在CMP处理中,基板的裸露表面通常放在旋转的抛光垫(盘式垫或带垫)上,该抛光垫可以是标准的垫片(具有牢固的粗糙表面)或固定磨料垫(具有保持在包含介质内的磨料颗粒)。可控地装载基板,以在抛光垫上推动该基板。将包括至少一个化学反应剂(并且如果使用标准的垫片,则可能包括磨料颗粒)的抛光浆料供应给抛光垫的表面。在几个连续步骤中实现CMP处理,包括单步骤或多步骤的“成批”材料去除阶段,然后单步骤或多步骤的过度抛光和/或磨光材料去除阶段。
图1A和1B例解了用于执行这种多个连续CMP步骤的常用的CMP工具配置10。如图1A所示,可旋转的多头旋转转盘12支撑4个托架头14A、14B、14C以及14D,每个托架头被配置为固定样品(工件),并且围绕其轴旋转以移动托架头,该托架头在抛光站压板1、压板2、压板3与传输站16之间具有样品。托架头可以接收和固定样品S,并且在抛光垫上按压该样品,并且还可以朝着并且远离压板表面移动相应的样品。在抛光期间,托架头在对应的抛光垫上按压其各自的样品,压板围绕其中心轴旋转,并且托架头围绕它们各自的中心轴旋转并且在抛光垫的表面上横向平移。CMP工具配置可以包括一个或多个现场或整体度量系统,操作所述度量系统以确定在样品上的薄膜/层的厚度的变化。放置现场度量系统使得可以在薄膜抛光的同时实时进行测量;每个抛光站可以包括独立的现场测量系统。集成度量工具可以位于相邻的抛光站之间,使得托架头使样品进入集成度量工具的样品架。如图所示,样品S开始/进入CMP工具配置,用于去除顶层(目标层),并且这由在压板1执行的“成批”去除步骤以及由压板2和3执行的两个连续过度抛光和磨光步骤连续进行。
因此,如在图1B示意性所示,传统的CMP工具配置10包括一组具有压板14的抛光站,压板14包括用于“成批”去除步骤的一个或多个站点以及用于过度抛光和/或磨光去除/平坦化的一些站14。在CMP工具10内还包括清洁和干燥模块18以及干燥度量系统20。
发明内容
最近的CMP技术发展(例如,多区域压力控制、垫片厚度控制、垫片调节、特殊浆料等)将CMP性能提高到某种等级,但是该等级勉强满足现有制造技术的严格要求,并且对技术的任何变化是成问题的。(US8,654,562)提出了气体聚集离子束技术(GCIB),用于精细调谐和/或校准和/或提高CMP工具性能。这种技术,虽然目的在于提高CMP处理的晶片内(WIW)厚度均匀性,减少或消除诸如侵蚀和凹陷这种不利的CMP效果,并且减少或消除密度效果,但是该技术难免需要非常昂贵的处理设备,以在整个CMP处理之后“校正”晶片拓扑。
通常,在应用去除一部分层、以仅仅使晶片表面(“内停止stop-in”层)平坦化的处理时,达到最佳CMP性能。在这种情况下,通过最佳高平坦化浆料,可以实现单层去除的最佳处理条件。在现有制造处理中,表示绝对多数CMP处理步骤的“停止stop-on”层出现更复杂的情况。所有“上停止stop-on”CMP步骤(包括但不限于具有上停止stop on SiN上的氧化物CMP以及具有上停止stop on氧化物的SiN CMP、或者具有上停止stop on多晶硅的氧化物CMP、具有上停止stop on氧化物的W CMP等)需要成批去除的多阶段处理,然后过度抛光和/或磨光。这两个阶段都有问题,但是尤其是需要组合和控制去除多种材料的第二阶段。对于在半导体装置内具有不同密度的所有结构,难以实现该任务。
因此,在本领域中需要一种用于材料去除技术的新型方法,该方法允许更精确的表面平坦化,其允许在“上停止stop-on”中完全去除层,不需要现有CMP的复杂过度抛光步骤,也不需要上述提出的用于后CMP校正的技术。
为了简化理解本发明,应注意以下内容。称为“粗糙”材料去除的步骤表示去除大部分块体材料,而非所有块体材料。对于“上停止stop-on”层,“粗糙”CMP去除在整个晶片上留下一薄层去除材料。通常,留下的材料的量在10-100A的范围内,并且显示配方优化处理的结果。“精细”材料去除表示去除剩余材料的最后部分(现有CMP“上停止stop-on”点)以及生成最终数据的过度抛光和/或磨光的所有步骤。
如上所述,传统的CMP处理包括粗糙材料去除阶段,粗糙材料去除阶段随后的是一系列精细材料去除阶段。粗糙和精细CMP程序的差异在于CMP处理的参数、垫片以及浆料材料。然而,在每个CMP阶段,将抛光处理应用于样品的整个表面中,该样品在各个阶段具有相同的抛光参数。
发明人已经发现,在完成粗糙CMP材料去除阶段之后,而非现有精细材料去除CMP阶段,可以应用新型材料去除,这大幅简化了处理并且提高了在晶片变化内产生的局部和总体平坦。
在粗糙CMP阶段之后,可以应用本发明的新型精细材料去除处理,并且该处理基于在样品(晶片)上的层的剩余厚度的知识,即,样品图。该新型精细去除处理使用剩余厚度的选择性蚀刻(该选择性蚀刻是通过在晶片的每个点(即,蚀刻图)上应用外部能量蚀刻速率来局部控制),基于已知的剩余厚度,计算蚀刻速率,使得同时在整个晶片上从所有不同的密度部位精确地去除剩余层。由此,消除了所有精细材料去除CMP步骤,包括过度抛光和/或磨光。
本发明的局部选择性蚀刻还解决这种CMP问题,例如,划痕,并且可以使后CMP清洁处理更简单。
可以在独立的材料去除系统中,实现本发明的新型材料去除/表面平坦化技术,或者该技术可以与用于“粗糙”材料去除的传统的CMP系统结合,例如,可以在粗糙CMP阶段之后。因此,本发明可以用于在各种可能的配置中精细调谐和/或校正和/或提高CMP工具性能。
通常,本发明的新型材料去除(或表面平坦化)技术可以用于从基板(而非半导体晶片)中去除任何目标材料,包括,例如,塑料、玻璃等,并且可以用于各种应用中,例如,用于制造电子元件,例如,LCD屏幕、MEMS、片上系统(SOC)、高级内存和逻辑装置、电源开关等。
本发明使用局部选择性材料去除。在该连接中,应注意以下内容。术语“选择性”表示在其他材料保持完整(目标材料的蚀刻速率远远大于其他材料的蚀刻速率)时,目标材料的选择性蚀刻。术语“局部”表示通过在样品的表面上的一个或多个选择/识别的部位(位置)上应用外部场(例如,温度场)所产生的控制材料去除速率的蚀刻速率的差异。术语“局部”还表示允许产生用户限定的蚀刻速率图的外部能量(温度)样品(晶片)图。在光刻用于在仅仅在露出的部位上选择性蚀刻的样品上产生图案时,术语“局部材料去除”与基于“掩膜”的方法本质上不同。本发明的局部材料去除在样品上的所有部位/位置上使用“蚀刻速率控制”的局部材料去除,即,将蚀刻材料用于整个样品的表面中,而在选择/识别的位置上,材料去除比在其他表面位置中更快。
换言之,根据本发明,通过蚀刻从样品的表面的选择性部位去除材料,使用整个样品的表面与蚀刻材料的相互作用,但是与传统的方法相反,使用在样品内的蚀刻速率的模式/数据,即,蚀刻速率根据预定的模式在表面内变化。结果,样品的表面的不同位置经受不同等级的材料去除。在处理之前,对样品上的层的厚度的了解允许确定在整个样品表面上的不同部位实现精确的材料去除的目标所需要的模式。在一些实施方式中,通过给样品的表面应用影响蚀刻处理(例如,温度模式)的对应局部能量分布,实现这种蚀刻速率模式(变化的蚀刻速率)。因此,在一些实施方式中,本发明规定在由局部加热造成的局部样品温度限定的样品上的每个位置,通过可变蚀刻速率在样品(例如,半导体晶片)上选择性去除目标材料。通常,通过应用空间变化的外部辐射/场,可以在样品的表面上的实现期望的温度模式。
应理解的是,本发明的材料去除技术可以通过选择性湿法蚀刻处理应用于样品中,但是还可以适用于具有高外部能量依赖性(例如,温度依赖性)的任何其他选择性去除处理。而且,如上所述,本发明可以用于目标材料的部分去除,直到期望的目标厚度,也用于完全去除这个或这些目标材料。
因此,根据本发明的一个广泛方面,提供了一种表面平坦化系统,包括:外部能源,用于在处理区域内生成局部能量分布;以及控制单元,用于操作所述外部能源,以由所述局部能量分布在所述处理区域内产生预定的温度模式,使得所述处理区域的不同位置经受不同的温度,假设在样品与蚀刻材料成分相互作用期间,样品位于所述处理区域内时,在所述样品的表面的不同位置处的温度模式通过所述蚀刻材料成分产生不同的材料去除速率。
在一些实施方式中,所述外部能源包括一个或多个加热器。所述一个或多个加热器可以是生成电磁辐射的类型。在一些实施方式中,所述能源包括在平面内以间隔关系设置的加热器的矩阵,使得驱动选择的具有所需工作参数的加热器在所述处理区域内产生所述局部能量分布。这种工作参数可以包括以下中的一个或多个:加热温度、脉冲或CW操作模式、加热的持续时间、加热脉冲形状、脉冲的时间模式(加热脉冲持续时间和在加热脉冲之间的延迟)。
所述控制单元包括能量控制器设施,该能量控制器设施包括数据处理器,所述数据处理器被配置为接收和处理输入数据并且生成至所述能源的操作数据。该操作数据表示在所述处理区域中将由所述能源产生的温度模式。在一些实施方式中,所述输入数据包括对应于在所述样品上的层的厚度数据(thickness profile)的样品图,并且所述控制单元的数据处理器被配置为处理所述样品图数据,确定对应的蚀刻图,并且生成至所述能源的对应操作数据。在一些其他实施方式中,所述输入数据包括对应于样品图的蚀刻图数据,所述样品图表示在所述系统要处理的样品上的层的厚度数据。所述控制单元可以被配置为与外部系统通信,用于接收所述输入数据。这种外部系统可以是检查/测量/度量系统或外部储存装置,这种外部系统从这种检查/测量系统中接收数据。这种系统在此有时称为检查系统并且有时称为度量系统。应理解的是,为了本发明的目的,这种系统需要确定样品的层的厚度数据的能力。度量系统可以被配置为用于光学度量,例如,使用光谱测量、反射测量、椭圆测量以及这些技术的任何合适的组合。
在一些实施方式中,表面平坦化系统还包括现场检查模块,其与所述温度场源的控制单元数据通信。所述现场检查模块被配置为并且可操作为用于测量至少一个参数,作为样品和蚀刻材料成分的参数,并且生成处理控制数据,以(由所述控制单元)用于控制能源的工作参数,以保持所需温度模式并且在实现所需结果时,限定平坦化处理的终点。
根据本发明的另一个广泛方面,提供了一种表面平坦化系统,包括:外部能源,其能够在处理区域内生成局部能量分布;支撑单元,用于在所述处理区域中在蚀刻溶液内支撑样品;以及控制单元,用于接收表示样品图的输入数据,确定对应的蚀刻图,并且生成操作数据,所述操作数据用于操作所述能源,以在所述样品中产生预定温度模式的局部能量分布,从而在样品内造成温度相关的蚀刻模式。
根据本发明的另一个广泛方面,提供了一种用于处理在生产线上处理的样品的处理系统,所述系统包括:
材料去除系统,被配置为将至少一个粗糙材料去除处理应用于样品的表面;
上述表面平坦化系统,被配置为在由“粗糙”材料去除系统处理之后,处理所述样品;
检查系统,被配置为检查样品并且生成处理控制数据,该处理控制数据能够生成至所述表面平坦化系统的操作数据。
检查系统可以包括集成度量工具,其被配置为用于在由表面平坦化系统处理之前对样品应用测量(例如,光学测量),并且生成对应于样品图或蚀刻图的输出数据。处理系统可以包括现场度量模块,其与所述表面平坦化系统相关联并且被配置为及可操作为用于:测量一个或多个参数,包括样品的至少一个参数和/或蚀刻材料成分的至少一个参数;并且生成处理控制数据,用于控制能源的工作参数,以保持所需温度模式。
所述材料去除系统可以被配置为由CMP进行材料去除。
根据本发明的另一个广泛方面,提供了一种化学机械抛光(CMP)工具配置,包括:至少一个CMP站,用于将粗糙CMP处理应用于样品中,以及上述表面平坦化系统,该表面平坦化系统位于CMP站的下游并且可操作为通过所述选择性蚀刻将精细表面平坦化应用于所述样品。
根据本发明的另一个广泛方面,提供了一种用于处理在生产线上处理的样品(例如,半导体晶片)的方法,所述方法包括:
在至少一个CMP步骤中,将粗糙CMP处理应用于样品中;
在所述粗糙CMP处理之后,将光学度量测量应用于样品中,并且生成表示在样品上的目标层的厚度数据的处理控制数据;
将精细表面平坦化应用于已测样品中,所述精细表面平坦化包括:将样品与蚀刻材料成分相互作用,并且在与蚀刻材料成分的所述相互作用期间,将能量应用于样品,从而样品中产生根据所述已测厚度数据确定的温度模式,所述温度模式在样品的表面上产生对应的蚀刻图,使得样品的表面的不同位置通过所述蚀刻材料成分经受不同的材料去除速率。
更具体而言,本发明可用于晶片的表面平坦化的半导体行业中,这通常由CMP完成,因此,下面参考该特定应用来例证。然而,应理解并且上面解释的是,本发明原理不能也不应限于该特定应用。
附图说明
为了更好地理解在本文中公开的主题并且例证可以实际上执行的方式,现在,参考附图,仅仅通过非限制性实例,描述实施方式,其中:
图1A和1B示意性示出用于半导体行业的CMP工具配置的配置和操作;
图2示意性示出本发明的表面平坦化系统;
图3A到3D例证本发明用于样品的选择性蚀刻,其中,图3A示出要通过选择性蚀刻来经受部分材料去除的样品的结构以及应用于样品的材料去除系统的主要结构部件;并且图3B到3D示出在选择性蚀刻之前,在选择性蚀刻时,及在选择性蚀刻之后这三个连续状态中的样品;以及
图4示意性示出使用本发明的表面平坦化系统代替传统的精细CMP阶段的修改后的CMP工具配置。
具体实施方式
如上面参考图1A和1B所述,材料去除处理(传统上应用于在生产线上处理的半导体晶片)包括CMP粗糙去除,该CMP粗糙去除随后的是几个CMP精细阶段,其中,每个CMP阶段由抛光晶片的整个表面构成。
现在参考图2,其示意性示出本发明的通常表示为100的材料去除/表面平坦化系统,该系统应用于与(涂覆/嵌入其内的)蚀刻材料成分11相互作用的样品S。系统100包括外部能源102并且与控制单元106相关联。如下面进一步所述,控制单元106可以是系统100或者检查/测量站的一部分,或者在表面平坦化系统100与检查站之间互连(例如,通过无线数据通信)的独立系统的一部分,或者控制单元的软件设施可以分布在任何两个或多个这种系统/站之间。
能源102被配置为及可操作为,在处理区域104内生成局部能量/温度分布E(x,y),并且可由控制单元106操作以在处理区域内产生预定的温度模式,使得处理区域104的不同位置经受不同温度。因此,在样品S与蚀刻器11之间的交互界面位于处理区域104内时,受到对应温度模式T(x,y)的影响,使得在样品的表面的不同位置处的不同温度通过蚀刻材料成分产生不同的材料去除速率。
外部能源可以是任何已知的合适的类型,例如,电气、光学(灯具、激光等)、磁性,例如,使用脉冲或CW辐射。在非限制性实例中,能源102可以包括被设置成与在样品的表面内的位置的对应矩阵对准的隔开加热器的矩阵,使得驱动具有所需工作参数(加热温度、操作模式(例如,脉冲)、加热的持续时间、脉冲形状、脉冲的时间模式)的选择性加热器,在样品上产生热分布模式。
控制单元106被配置为生成能源102的操作数据,以产生局部温度分布E(x,y),即,在处理区域内的温度场的空间变换,使得在位于处理区域104内时,在样品的表面S(与蚀刻器的交互界面)上产生对应的温度模式/数据T(x,y)。在具有这种温度数据的样品与合适的蚀刻材料成分相互作用时,沿着交互界面,产生由局部样品的温度限定的材料去除参数的对应数据(例如,在样品上的不同位置的可变蚀刻速率),因此,在交互区域内的样品的不同位置受到温度场的不同影响,该温度场在不同的样品位置造成不同程度的材料去除,即,在样品上的选择位置上去除材料。产生温度场模式的能源102的实例可以是灯具、激光以及其他源CW或脉冲源。
控制单元106通常是电子/计算机系统,其在其他事物之外,包括例如数据输入和输出设施108和110以及能量控制器设施112这些设施(硬件和/或软件)。能量控制器设施112是数据处理器,其包括模式数据发生器模块114,该模块处理表示从其中去除材料(所谓的“晶片图”或“蚀刻图”)的样品的位置(坐标)的输入数据,并且生成表示在样品的表面所在的处理区域内由能源产生的对应能量分布模式E(x,y)的数据。
应理解的是,在一些实施方式中,表示从其中去除材料的样品的位置的输入数据可以以,例如,样品(所谓的“晶片图”)上具有实测层厚度数据的形式,输入至控制单元内。晶片图数据可以直接从检查系统(在线操作模式)或从储存装置(离线模式)中接收。控制单元的数据处理器可以被编程为处理样品图数据,确定对应的蚀刻图,并且生成能源的操作数据以产生对应的温度模式。在一些其他实施方式中,输入数据包括输入数据蚀刻图数据(例如,由检查系统的控制器确定),该输入数据蚀刻图数据对应于表示在待处理的样品上的层的厚度数据。在这种情况下,控制单元分析蚀刻图,并且生成能源的操作数据,该操作数据表示待产生的对应温度模式。一般而言,用于确定样品图和对应的蚀刻图、将其转换成匹配的温度模式的数据处理算法可以由分布在平坦化系统100的控制单元与外部检查系统(集成或独立式)的控制单元的控制设施之间的软件模块实现。
如在图2用虚线进一步所示,本发明的表面平坦化系统100可以与现场度量模块/系统116相关联,用于处理控制。在由系统100(即,由能源操作)执行的选择性蚀刻处理中,这种系统116给样品应用测量(例如,光学),并且将更新的输入数据(例如,根据具体情况,可能是样品图或蚀刻图)供应给控制单元,用于控制能源的工作参数,从而控制选择性去除处理。在选择性蚀刻处理中,度量模块116被配置为用于目标参数的单或多部位/点测量。这种实测参数包括但不限于部分或完全从样品中去除的层的厚度。还应注意的是,代替或者除了样品的参数测量以外,用于实时现场去除(选择性湿法蚀刻)的这种度量模块116还可以监控蚀刻溶液的成分/浓度。
如上所述,本发明的选择性材料去除技术(即,在样品的表面上的不同位置的不同程度的材料去除)可以供具有温度依赖性的任何材料去除处理使用。在一些实施方式中,在样品的表面上的不同位置上的这种不同程度的材料去除可以在由不同局部样品温度限定的样品上由材料的可变蚀刻速率构成。例如,这可以通过选择性湿法蚀刻处理实现。而且,如上所述,由本发明实现的目标材料的去除表示部分去除材料直到目标厚度,和完全去除目标材料。
还应理解的是,蚀刻模式本身(温度/持续时间、蚀刻材料成分)可以使用任何合适的技术,这在通常用于光刻(例如,用于半导体行业)中的蚀刻处理的技术中众所周知。在本领域中选择蚀刻药剂和选择蚀刻微加工处理的温度依赖性的实例可以从现有技术中获取,例如,在K.R Williams和R.S Muller的文章“Etch Rates for micromachiningprocessing”,Journal of Microelectromechanical Systems,Vol,No4,p256-269,December 1996中所描述的。
参考图3A到3D,其例解本发明用于选择性蚀刻样品的方式。图3A例解了通过目标材料的选择性湿法蚀刻处理经受部分材料去除的样品的结构S以及应用于样品中的表面平坦化系统100。如图所示,样品的结构S包括基板(单或多层)15和从选择性位置中部分去除的目标材料的顶层17,例如,要模式化的层17,该模式化的目的在于最终表面平坦化。为此,将蚀刻溶液11应用于样品中,例如,通过通常表示为120的蚀刻试剂传输系统(喷嘴)将蚀刻溶液11放置在顶层17上。
这种材料传输系统的结构和操作本身已知,并且不构成本发明的一部分,因此,不需要特别描述,除了注意可以使用任何材料传输系统以外,包括具有用于产生踏板的旋转机构的材料传输系统。系统100包括能够在与蚀刻材料相互作用的样品所在的处理区域104中产生局部能量分布E(x,y)的外部加热源102(构成能源),并且系统100可由控制单元106操作,使得局部能量场分布在样品蚀刻界面内产生期望的温度数据T(x,y)。如图所示,加热源102可以包括容纳在样品的表面侧上的加热单元,该加热单元被隔开并且被配置为朝着样品的表面引导加热辐射,和/或可以包括容纳在样品的托盘122内部的加热单元。加热单元由来自控制单元的模式数据发生器的数据操作,以允许局部加热样品的表面的任何部分。如上所述,可以由任何脉冲或CW源完成局部加热。系统100可以与度量模块(检查系统)相关联,用于实时现场控制材料去除处理。
图3B到3D例解了系统100的操作。示出了样品在系统100选择性蚀刻之前、在选择性蚀刻时、以及在选择性蚀刻之后的三个连续状态。如图3B所示,在生产线上处理的样品S'(例如,由先前的粗糙CMP阶段生成)包括基板15和在基板上的目标材料17。目标材料17具有在此处示例为不同厚度的三个区域R1、R2以及R3的模式,即,表面凹凸。该样品经受本发明技术的表面平坦化处理(图3C):在目标层17之上增加蚀刻材料/层11,并且整个结构经受由外部能源102产生的温度模式。如上所述,能源102由控制单元106操作,该控制单元使用输入数据(所使用的蚀刻材料、需要的最终厚度数据以及加热源的参数),并且确定表面平坦化系统的工作参数(例如,加热温度图/模式(提供期望的蚀刻图)、脉冲或CW操作模式的最佳使用、加热的持续数据、加热脉冲形状、加热脉冲的时间模式),以确保在加热应用的界面和持续时间内产生期望的加热(温度)分布,以实现可取的蚀刻速率模式/数据。如图3C所示,这种选择的能量分布导致材料去除的期望模式,即,样品S"具有目标材料层17'的期望的厚度模式,并在一个阶段中实现。
如上所述,本发明的技术可以用于在各种可能的配置中精细调谐和/或校正和/或提高CMP工具性能。例如,在这个压板或这些压板之后,或者代替一个压板,或者代替最后的或磨光压板,本发明的表面平坦化系统100可以集成或者用作现有CMP处理设备的一部分,作为位于CMP序列内的额外模块。这在图4示意性示出。在此处,表面平坦化系统100用作CMP工具配置200的一部分,并且安装在度量/检查站18(集成度量工具)的下游,在由粗糙CMP阶段12处理之后,该度量/检查站接收并且检查样品。在该实例中,控制单元从检查系统220中接收输入数据,并且传输输出数据,该输出数据用于操作表面平坦化系统100。而且,如上所述,本发明的表面平坦化系统可以用作独立(SA)工具,用作所谓的“验证站”,用于在完成标准的CMP处理(例如,图1A和1B的传统的多阶段材料去除处理)之后校正。
应注意的是,通过消除或者至少明显减少一个或多个过度抛光步骤的重做,减少压板的数量,并且减少不同CMP浆料的选择性要求,用于精细调谐和/或校正和/或提高CMP工具性能的集成技术允许CMP工具设备具有更好的生产率、成本效率以及更好的吞吐量。而且,这种CMP处理的精细调谐和/或校正和/或提高,允许更好的总体性能,包括提高CMP处理的晶片内(WIW)厚度均匀性,减少或消除诸如侵蚀和凹陷这种不利的CMP效果,减少或消除密度效果,并且减少CMP引起的缺点,例如,划痕,并且简化在CMP之后需要的清洁处理。还应注意的是,通过将本发明的技术加入到更简单的单压板内停止(stop-in)层块体材料去除方法和工具中,可以减少目前需要的多压板处理(具有成问题的过度抛光步骤和/或磨光)的所有上停止(stop-on)CMP步骤(包括但不限于具有停止在SiN上的氧化物CMP以及具有停止在氧化物上的SiN CMP、或者具有停止在多晶硅上的氧化物CMP、具有停止在氧化物上的WCMP等)。
本发明适用于使用已知的选择性蚀刻剂和已知的温度依赖性,选择性去除或蚀刻氧化物、SiN、Si、金属等。本发明可以用于校正电介质和金属CMP处理的CMP性能,包括但不限于STI CMP、代替栅极CMP、W CMP、Cu CMP等。应进一步注意的是,上面示例为供CMP处理使用的本发明的技术也可以用于其他半导体制造处理,例如,模式化处理或材料沉积处理,例如,用于控制/验证/校正最终材料厚度数据的化学气相沉积(CVD)。
下面是适合于将本发明的表面平坦化系统100用作现有CMP处理设备的集成部分时的高级处理控制方案的实例,例如,如在图4所示并且参考图4如上所述。根据该处理控制方案,湿润区域集成度量(IM)工具/站220位于CMP(例如,粗糙CMP)的这个或这些压板14的下游以及系统100的上游。在由IM工具220检查时,晶片保持干燥或湿润。该湿润区域IM系统220被配置为在粗糙CMP之后由压板14测量/确定目标参数的晶片图,并且根据具体情况,限定所需要的材料去除需求,即,厚度变化的这个最终厚度模式或这些最终厚度模式。该晶片图(例如,表示从该位置去除材料的样品的位置的数据)用作提出的集成选择性蚀刻去除系统100的前馈高级处理控制(即,确定对应的蚀刻图),并且相同的晶片图用作CMP压板12的反馈高级处理控制。此外,作为一种选择,在完成整个材料去除和表面平坦化处理之后,即,在系统100的下游,可以使用额外度量工具20(集成或独立式)用作总体处理质量控制的方式。
在表面平坦化系统100用在单独的独立站点/工具配置中用于在完成标准CMP处理之后的校正的情况下,独立度量系统可以用于在应用选择性湿法蚀刻处理(前馈高级处理控制)之前,预先定义所需的去除/平面化需求的晶片图(即,所需厚度数据),并且在选择性湿法蚀刻处理(后馈高级处理控制)之后,评估总体性能。
如上面参考图2所述,还如在图4所例解的,进程内控制可以可选地用于控制选择性去除处理。在这种情况下,额外的现场度量模块116可以用于控制模块100的输入。该度量模块116允许在蚀刻处理中测量目标参数(单个或多个点/区域),包括但不限于部分或完全去除的层的厚度。如上所述,用于实时现场材料去除(选择性湿法蚀刻)的度量模块116可以监控蚀刻溶液的成分/浓度,代替或者除了样品测量以外。
例如,使用本发明的STI CMP处理可以如下进行:通过高效率氧化物浆料,将晶片制成平面,使得氧化物的薄均匀层保持在抛光的晶片上,并且依然具有薄氧化物层。测量在晶片上的剩余氧化物层的厚度,以限定去除处理的所需温度分布和热持续时间模式。执行根据本发明的选择性去除(蚀刻),以完全去除剩余的氧化物层,并且在晶片上均匀地露出SiN,没有凹陷/侵蚀、过量过度抛光等。为此,例如,可以如下修改STI CMP工具:一个抛光压板用于通过高平坦化效率氧化物浆料平坦化;IM(干/湿)湿润区域度量模块220位于抛光阶段的下游,以测量剩余晶片图,然后,本发明的表面平坦化系统100用于选择性去除剩余层。然后,在清洁和干燥晶片之后,由干度量工具20(集成或独立式)测量,用于处理的总体质量。

Claims (14)

1.一种用于处理在生产线上处理的样品的处理系统,所述处理系统包括:
粗糙材料去除系统,被配置为通过将至少一个粗糙材料去除处理应用于样品的表面来去除所述样品的表面的大部分块状材料,导致沿着所述样品的表面的剩余层材料分布;
表面平坦化系统,被配置为通过对所述样品的表面施加选择性湿法蚀刻处理对由所述粗糙材料去除系统处理后的所述样品的表面执行剩余层材料的精细材料去除,所述表面平坦化系统包括支撑单元,用于支撑所述样品,使得所述样品的整个表面与蚀刻溶液接触;外部能源,被配置为并能操作为在所述样品的表面内生成局部能量分布;以及控制单元,被配置为根据在所述样品上的层的厚度数据确定蚀刻图数据,并且生成用于控制所述能源的工作参数的操作数据,以产生用于在所述样品的表面内产生并维持预定温度模式的局部能量分布,从而在所述样品的表面内产生温度依赖性蚀刻图案,直至平坦化处理的结束点;以及
度量系统,被配置为执行以下:对所述样品进行测量,所述测量包括施加在由所述粗糙材料去除系统处理之后或在选择性湿法蚀刻期间或以上两者的所述样品上的测量;获得测量数据;根据所述测量数据确定所述在所述样品上的层的厚度数据,并且向所述控制单元提供指示所述在所述样品上的层的厚度数据的处理控制数据,使得能够确定所述蚀刻图数据并生成至所述表面平坦化系统的操作数据。
2.根据权利要求1所述的处理系统,其中,所述度量系统包括与所述表面平坦化系统相关联的现场度量模块。
3.根据权利要求2所述的处理系统,其中,所述现场度量模块被配置为并且可操作为:测量至少一个参数,所述至少一个参数包括至少一个样品参数和/或至少蚀刻材料成分参数;并且生成处理控制数据,用于控制所述能源的工作参数,以保持所需温度模式。
4.根据权利要求1到3中任一项所述的处理系统,其中,所述粗糙材料去除系统被配置为通过化学机械抛光(CMP)进行材料去除。
5.根据权利要求1所述的处理系统,所述粗糙材料去除系统包括至少一个CMP站,用于将粗糙CMP处理应用于所述样品的表面中;以及所述表面平坦化系统,所述表面平坦化系统位于所述至少一个CMP站的下游。
6.根据权利要求1所述的处理系统,其中,所述外部能源由所述控制单元配置为用于在处理区域内产生预定的温度模式,使得所述处理区域的不同位置经受不同的温度,假设与蚀刻材料成分相互作用的样品的表面位于所述处理区域内时,所述样品的表面的不同位置处的温度模式通过所述蚀刻材料成分产生不同的材料去除速率。
7.根据权利要求6所述的处理系统,其中,所述外部能源包括一个或多个加热器。
8.根据权利要求7所述的处理系统,其中,所述一个或多个加热器被配置为生成电磁辐射。
9.根据权利要求6或7所述的处理系统,其中,所述外部能源包括在平面内以间隔关系设置的加热器的矩阵,使得驱动选择的具有所需工作参数的加热器在所述处理区域内产生局部温度分布。
10.根据权利要求9所述的处理系统,其中,所述工作参数包括以下至少之一:加热温度、脉冲或CW操作模式、加热的持续时间、加热脉冲形状、加热脉冲的时间模式。
11.根据权利要求1所述的处理系统,其中,所述控制单元包括处理控制器设施,所述处理控制器设施包括数据处理器,所述数据处理器被配置为接收和处理输入数据并且生成至所述能源的操作数据,所述操作数据表示在所述样品的表面中将由所述能源产生的所述温度模式。
12.根据权利要求11所述的处理系统,其中,所述输入数据包括对应于在所述样品上的层的厚度数据的样品图,所述控制单元的所述数据处理器被配置为处理所述样品图数据,确定对应的蚀刻图,并且生成至所述能源的对应操作数据。
13.根据权利要求11所述的处理系统,其中,所述输入数据包括对应于样品图的蚀刻图数据,所述样品图表示在所述系统待处理的样品上的层的厚度数据。
14.根据权利要求11到13中任一项所述的处理系统,其中,所述控制单元被配置为与外部系统通信以接收所述输入数据。
CN201480076614.6A 2013-12-31 2014-12-31 表面平坦化系统和方法 Active CN106062930B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361922241P 2013-12-31 2013-12-31
US61/922,241 2013-12-31
PCT/IL2014/051143 WO2015101989A1 (en) 2013-12-31 2014-12-31 Surface planarization system and method

Publications (2)

Publication Number Publication Date
CN106062930A CN106062930A (zh) 2016-10-26
CN106062930B true CN106062930B (zh) 2019-07-12

Family

ID=53493365

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480076614.6A Active CN106062930B (zh) 2013-12-31 2014-12-31 表面平坦化系统和方法

Country Status (5)

Country Link
US (1) US10226852B2 (zh)
JP (1) JP6502383B2 (zh)
CN (1) CN106062930B (zh)
IL (1) IL246481A (zh)
WO (1) WO2015101989A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD742581S1 (en) * 2013-12-09 2015-11-03 Kenall Manufacturing Company Driver housing
US10226852B2 (en) * 2013-12-31 2019-03-12 Nova Measuring Instruments Ltd. Surface planarization system and method
WO2017115377A1 (en) * 2015-12-31 2017-07-06 Nova Measuring Instruments Ltd. Method and system for processing patterned structures
JP2019169662A (ja) * 2018-03-26 2019-10-03 株式会社Kokusai Electric 半導体装置の製造方法、プログラムおよび基板処理装置
EP4108806A4 (en) * 2020-02-18 2023-07-26 Posco PROCESS CONTROL SYSTEM AND ASSOCIATED OPERATING PROCESS

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5376233A (en) * 1992-02-10 1994-12-27 Texas Instruments Incorporated Method for selectively etching oxides
US5795493A (en) * 1995-05-01 1998-08-18 Motorola, Inc. Laser assisted plasma chemical etching method
US6358360B2 (en) * 1998-11-30 2002-03-19 Canon Kabushiki Kaisha Precision polishing apparatus for polishing a semiconductor substrate
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US7204639B1 (en) * 2003-09-26 2007-04-17 Lam Research Corporation Method and apparatus for thin metal film thickness measurement
TW200809930A (en) * 2006-08-15 2008-02-16 Varian Semiconductor Equipment Techniques for temperature-controlled ion implantation

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL112511A0 (en) 1994-02-18 1995-05-26 Hughes Aircraft Co System for improving the total thickness variation of a wafer
US6352595B1 (en) * 1999-05-28 2002-03-05 Lam Research Corporation Method and system for cleaning a chemical mechanical polishing pad
US7510974B2 (en) * 2006-05-05 2009-03-31 United Microelectronics Corp. CMP process
US7655933B2 (en) 2006-08-15 2010-02-02 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
DE102007063232B4 (de) * 2007-12-31 2023-06-22 Advanced Micro Devices, Inc. Verfahren zum Polieren eines Substrats
KR20110102378A (ko) 2008-11-26 2011-09-16 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 폴리싱을 위한 엔드 포인트 제어를 이용한 화학 물질과 연마 입자의 2 라인 혼합 방법
US8654562B2 (en) 2012-01-17 2014-02-18 Texas Instruments Incorporated Static random access memory cell with single-sided buffer and asymmetric construction
US10226852B2 (en) * 2013-12-31 2019-03-12 Nova Measuring Instruments Ltd. Surface planarization system and method

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5376233A (en) * 1992-02-10 1994-12-27 Texas Instruments Incorporated Method for selectively etching oxides
US5795493A (en) * 1995-05-01 1998-08-18 Motorola, Inc. Laser assisted plasma chemical etching method
US6358360B2 (en) * 1998-11-30 2002-03-19 Canon Kabushiki Kaisha Precision polishing apparatus for polishing a semiconductor substrate
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US7204639B1 (en) * 2003-09-26 2007-04-17 Lam Research Corporation Method and apparatus for thin metal film thickness measurement
TW200809930A (en) * 2006-08-15 2008-02-16 Varian Semiconductor Equipment Techniques for temperature-controlled ion implantation

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Etch Rates for micromachining processing;Williams,K.R.et al;《Journal of Microelectronechanical Systems》;19961231;第5卷(第4期);256-269

Also Published As

Publication number Publication date
CN106062930A (zh) 2016-10-26
IL246481A (en) 2017-06-29
WO2015101989A1 (en) 2015-07-09
JP2017503673A (ja) 2017-02-02
US20160318148A1 (en) 2016-11-03
US10226852B2 (en) 2019-03-12
US20180029189A9 (en) 2018-02-01
JP6502383B2 (ja) 2019-04-17

Similar Documents

Publication Publication Date Title
CN106062930B (zh) 表面平坦化系统和方法
US10553502B2 (en) Two etch method for achieving a wafer thickness profile
US7534725B2 (en) Advanced process control for semiconductor processing
US9870928B2 (en) System and method for updating an arm scan profile through a graphical user interface
US6540591B1 (en) Method and apparatus for post-polish thickness and uniformity control
TWI459168B (zh) 可調適之處方選擇器
TWI409657B (zh) 閘極最佳化評估程式庫之產生方法與儲存媒體
US20100233937A1 (en) Method for predicting worked shape, method for determining working conditions, working method, working system, semiconductor device manufacturing method, computer program and computer program storage medium
US6827629B2 (en) Method of and apparatus for controlling the chemical mechanical polishing of multiple layers on a substrate
JPH1142551A (ja) 研磨装置及び研磨方法
US20190273008A1 (en) Precision screen printing with sub-micron uniformity of metallization materials on green sheet ceramic
US7097534B1 (en) Closed-loop control of a chemical mechanical polisher
TW201807747A (zh) 經由化學的適應性峰化來控制蝕刻速率的裝置和方法
US20230294237A1 (en) External heating system for use in chemical mechanical polishing system
CN100366386C (zh) 用于控制衬底的化学机械式抛光的方法及装置
US10978321B2 (en) Method and system for processing patterned structures
US20190164852A1 (en) System and method for in-line processing control
JP2009033105A (ja) 半導体装置の製造方法
KR101587482B1 (ko) 화학 기계적 연마 장치 및 방법
Ramlan et al. Experimental Study on Chemical Mechanical Planarization Process by Manufacturing Automated System
TW202343531A (zh) 蝕刻工具
KR20070069813A (ko) Imd cmp 공정 수행방법
JP2020520099A (ja) 基板処理システムのための温度調節された基板支持体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Rehovot

Patentee after: Novell Ltd.

Address before: Rehovot

Patentee before: NOVA MEASURING INSTRUMENTS Ltd.