JP2016128902A - フォトレジストパターントリミング組成物及び方法 - Google Patents

フォトレジストパターントリミング組成物及び方法 Download PDF

Info

Publication number
JP2016128902A
JP2016128902A JP2015245014A JP2015245014A JP2016128902A JP 2016128902 A JP2016128902 A JP 2016128902A JP 2015245014 A JP2015245014 A JP 2015245014A JP 2015245014 A JP2015245014 A JP 2015245014A JP 2016128902 A JP2016128902 A JP 2016128902A
Authority
JP
Japan
Prior art keywords
photoresist pattern
photoresist
trimming
pattern
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015245014A
Other languages
English (en)
Other versions
JP2016128902A5 (ja
JP6261091B2 (ja
Inventor
アーヴィンダー・カウル
Kaur Irvinder
コン・リウ
Cong Liu
ケヴィン・ローウェル
Rowell Kevin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2016128902A publication Critical patent/JP2016128902A/ja
Publication of JP2016128902A5 publication Critical patent/JP2016128902A5/ja
Application granted granted Critical
Publication of JP6261091B2 publication Critical patent/JP6261091B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/33Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton of six-membered aromatic rings being part of condensed ring systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/39Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing halogen atoms bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/28Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton
    • C07C309/40Sulfonic acids having sulfo groups bound to carbon atoms of six-membered aromatic rings of a carbon skeleton containing nitro or nitroso groups bound to the carbon skeleton
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】微細フォトレジストパターンを形成する有用な収縮トリミングするための組成物及び方法に関し、酸不安定基を有するポリマーから形成されたフォトレジストパターンを、優れた線幅粗さ(LWR)及び/又は等密度バイアス特性をもたらしながらレジストパターン寸法を縮小することが可能な組成物及び方法を提供する。
【解決手段】マトリックスポリマー、1つ以上のフッ素化アルコール基を含む芳香族スルホン酸、及び溶媒を含む、フォトレジストパターントリミング組成物、及びフォトレジストパターンをトリミングする方法。
【選択図】なし

Description

本発明は一般に電子デバイスの製造に関する。より詳細には、本発明は、微細リソグラフィーパターンを形成するための収縮方法で有用な、フォトレジストパターンをトリミングするための組成物及び方法に関する。
半導体製造業界では、半導体基板上に配置される金属、半導体、及び誘電層等の1つ以上の下層、ならびに基板自体に画像を転写するために、フォトレジスト材料が使用される。フォトレジスト材料はさらに、例えば、イオン注入マスクの形成における半導体製造での使用が見出される。半導体デバイスの集積密度を上昇させ、かつナノメートル範囲の寸法を有する構造の形成を可能にするために、フォトレジスト及び高解像度能力を有するフォトリソグラフィー処理ツールが開発され続けている。
従来、ポジティブ型化学増幅フォトレジストが高解像度処理のために使用されている。そのようなレジストでは、典型的には、酸不安定脱離基及び光酸発生剤を有する樹脂が使用される。酸発生剤は、化学放射線に露光されることで酸を形成し、その酸によって、露光後ベーキング中、酸不安定基が樹脂内で開裂する。これによって、水性アルカリ現像液溶液中のレジストの露光された領域と露光されていない領域との間の溶解度特性に相違が生じる。ポジティブ型現像(PTD)方法では、レジストの露光された領域は水性アルカリ現像液中で可溶性であり、基板表面から除去されるが、一方、露光されていない領域は、現像液中で不溶性であり、現像されてポジティブ画像を形成した後も残存する。
リソグラフィースケーリングは従来、光学露光装置の開口数を増加させ、かつ化学増幅フォトレジストを用いてより短い露光波長、例えば200nm以下、例えば193nm、またはEUV波長(例えば、13.5nm)を使用することによって、実現されている。リソグラフィー性能をさらに改善するために、液浸リソグラフィーツールが開発され、画像化デバイス、例えば、KrFまたはArF光源を有するスキャナーのレンズの開口数(NA)を効果的に増加させている。これは、屈折率が比較的高い流体(すなわち、液浸流体)を、画像化デバイスの最終表面と半導体ウエハの上部表面との間で使用することで実現される。その液浸流体によって、空気または不活性ガス媒体を用いたときよりも大量の光の焦点がレジスト層に集中することが可能になる。水を液浸流体として使用するときは、最大開口数を、例えば、1.2〜1.35個増加させることができる。開口数がそのように増加すると、40nmのハーフピッチの解像を単一の露光方法で実現することができ、それによって設計収縮を改善することができる。しかしながら、この標準液浸リソグラフィー方法は一般に、より高い解像度を要するデバイスの製造には好適ではない。
現在のところ、本業界では、開口数のさらなる増加、または露光波長の減少が実用限界に達するところまできている。その結果、集積回路リソグラフィーをスケーリングする代替の方法が調査されている。標準フォトリソグラフィー技術で実現される解像度を超えて実用的な解像度を拡張するために、材料及び処理の両方の観点から、相当な努力がなされている。例えば、CDを印刷するために、かつピッチが従来のリソグラフィーツールのより低い解像限界を超えるために、複合(すなわち、二重または高次)パターン形成方法が提案されている。そのような二重パターン形成方法の1つに、リソ−リソ−エッチ(LLE)二重パターン形成があるが、これは、第1のリソグラフィーフォトレジストパターンを形成し、次いで第2のリソグラフィーフォトレジストパターンを形成することに関しており、第2のパターンの線が、第1のパターンの隣接線の間に配置される。LLE二重パターン形成及び他の進歩したリソグラフィー方法は、直接リソグラフィー印刷による線またはポスト等の、孤立した特徴の形成を必要とすることが多い。しかしながら、条件を満たした方法ウインドウを用いて孤立した特徴を形成することは、焦点ずれでの空中画像コントラストが不十分である結果、課題をもたらし得る。
直接画像化のみによって得ることができるフォトレジストパターンよりも微細なものを形成するために、フォトレジストパターントリミング方法が提案されている。例えば、米国特許出願公開第US2013/0171574A1号及び同第US2014/0186772A1号を参照されたい。フォトレジストトリミング方法は、典型的には、酸不安定基を有するポリマーを含むフォトレジストパターンを、酸もしくは酸発生剤を含有する組成物に接触させることに関する。酸もしくは酸発生剤から発生する酸によって、レジストパターンの表面領域内に脱保護がもたらされ、次いで、その領域を、現像液溶液に接触させることで除去する。したがって、得られたレジストパターンの特徴は、もとのレジストパターンと比較して、サイズが縮小している。
優れた線幅粗さ(LWR)及び/または等密度バイアス特性をもたらしながらレジストパターン寸法を縮小することの望ましさを、本発明者は認識している。当該業界において1つ以上の問題及び/または必要性に対処する電子デバイス製造で有用な組成物及び方法が、必要とされ続けている。
本発明の第1の態様に従って、フォトレジストパターントリミング組成物を提供する。本組成物は、マトリックスポリマー、芳香族スルホン酸、及び溶媒を含み、芳香族スルホン酸は、1つ以上のフッ素化アルコール基を含む。
本発明のさらなる態様に従って、フォトレジストパターンをトリミングする方法を提供する。本方法は、(a)半導体基板を提供することと、(b)酸不安定基を含むマトリックスポリマー、光酸発生剤、及び溶媒を含むフォトレジスト組成物から形成されるフォトレジストパターンを、該基板上に形成することと、(c)本明細書中に記載のフォトレジストトリミング組成物を基板上のフォトレジストパターン上にコーティングすることと、(d)コーティングされた基板を加熱し、それによって、フォトレジストパターンの表面領域内のフォトレジストマトリックスポリマーの極性を変化させることと、(e)フォトレジストパターンとリンス剤とを接触させてフォトレジストパターンの表面領域を除去し、それによって、トリミングされたフォトレジストパターンを形成することと、を含む。
本発明のフォトレジストパターントリミング組成物及び方法によって、レジストパターン寸法が制御可能に縮小された、微細リソグラフィーパターンを生成することが可能となる。本発明の好ましい組成物及び方法によって、有利な線幅粗さ特性を有するパターンを形成し、かつ、望ましい等密度バイアス特性を有する孤立した線ならびにポスト等の、孤立したパターンを形成することが可能となる。
本明細書中で使用される専門用語は、特定の実施形態を説明することのみが目的であり、本発明を限定することは意図しない。「アルキル」は、直鎖、分岐、ならびに環状アルキルを含む。芳香族基は置換され得るもしくはヘテロ原子を含有し得る、かつフェニルもしくはピリジル等の単一の芳香族環、ビフェニル等の結合環、ナフチル、アントラセニル、ピレニル、もしくはキノリニル等の縮合芳香族環、及び1,2,3,4−テトラヒドロナフタレン、9,10−ジヒドロアントラセン、もしくはフルオレン等の芳香族及び非芳香族環の両方を有する縮合環系、ならびにそれらの結合価形態を含み得る。単数形「1つの(a)」、「1つの(an)」、及び「その(the)」は、別途文脈によって明示されない限り、複数形も含むことを意図する。
本発明は以下の図面を参照して説明され、同様の参照番号は同様の特徴を意味する。
図1Aは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Bは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Cは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Dは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Eは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Fは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Gは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。 図1Hは、本発明に従ってフォトリソグラフィーパターンを形成するための方法フローを示す。
フォトレジストパターントリミング組成物
フォトレジストパターントリミング組成物は、マトリックスポリマー、1つ以上のフッ素化アルコール基を含む芳香族スルホン酸、及び溶媒を含み、かつ1つ以上の任意の追加成分を含み得る。本発明によるフォトレジストトリミング組成物は、化学増幅フォトレジスト組成物から形成されたフォトレジストパターン上にコーティングされるとき、レジストパターン寸法が制御可能に縮小された、微細リソグラフィーパターンを提供することができる。また、本発明のフォトレジスト組成物は、好ましいLWRならびに等密度バイアス特性を提供することもできる。
マトリックスポリマーによって、組成物を、フォトレジストパターン上に、所望の厚さを有する層の形状でコーティングすることが可能となる。これは、フォトレジストパターン表面と相互作用するのに十分な含有量の酸を確実に存在させるのに役立つであろう。
マトリックスポリマーはトリミング方法で使用されるリンス溶液中で、優れた溶解度を有する必要がある。例えば、マトリックスポリマーは、水性アルカリ現像液、好ましくは水性水酸化テトラメチルアンモニウム等の第4級水酸化アンモニウム水溶液中、または水中で可溶性であってよい。オーバーコート材料から生じる残渣欠陥を最小限に抑えるために、トリミング組成物の乾燥層の溶解率は、現像液溶液によって除去されるフォトレジストパターン表面領域の溶解率を超えなければならない。マトリックスポリマーは、典型的には、100Å/秒以上、好ましくは1000Å/秒以上の現像液溶解率を呈する。マトリックスポリマーは、本明細書中に記載のトリミング組成物の溶媒中で可溶性である。マトリックスポリマーは、例えば、ポリビニルアルコール、ポリアクリル酸、ポリビニルピロリドン、ポリビニルアミン、ポリビニルアセタール、ポリ(メタ)アクリレート、及びそれらの組み合わせから選択され得る。好ましくは、ポリマーは、−OH、−COOH、−SOH、SiOH、ヒドロキシルスチレン、ヒドロキシルナフタレン、スルホンアミド、ヘキサフルオロイソプロピルアルコール、無水物、ラクトン、エステル、エーテル、アリルアミン、ピロリドン、及びそれらの組み合わせから選択される1つ以上の官能基を含有する。
組成物中のマトリックスポリマーの含有量は、例えば、層の標的厚さによって決定され、より厚い層にはより高いポリマー含有量が使用されるであろう。マトリックスポリマーは、典型的には、トリミング組成物の全固形分に基づいて、組成物中に、80〜99重量%、より典型的には90〜98重量%の量で存在する。ポリマーの重量平均分子量(M)は、典型的には400,000未満、好ましくは3000〜50,000、より好ましくは3000〜25,000である。
オーバーコート組成物中で有用なポリマーはホモポリマーであり得る、または複数の異なる反復単位、例えば、2つ、3つ、もしくは4つの異なる反復単位を有するコポリマーであり得る。本トリミング組成物は、典型的には、単一のポリマーを含むが、任意に1つ以上の追加ポリマーを含んでもよい。オーバーコート組成物中で使用するのに好適なポリマーならびにモノマーは、商業的に入手可能である、及び/または当業者が容易に作製することができる。
本トリミング組成物は、1つ以上のフッ素化アルコール基を含む芳香族酸をさらに含む。脱保護反応に基づくフォトレジストの場合、加熱された酸によって、フォトレジストパターン内の酸不安定基の結合を開裂することができる。芳香族酸は、好ましくは、フェニル、ビフェニル、ナフチル、アントラセニル、チオフェン、もしくはフラン基を含むスルホン酸である。リソグラフィー特性及びLWRを調節するためには、緩徐に拡散するスルホン酸の使用が好ましいことが見出されている。特に好ましいものは、酸を緩徐に拡散させることができるバルキー基で置換されたスルホン酸である。好適なバルキー基は、例えば、アダマンチル、任意に置換された分岐ならびに環状アルキル、及びフッ素化アルコールを含む。フッ素化アルコール基は、部分的にもしくは完全にフッ素化されていてもよい。好ましいフッ素化アルコール基は、フッ素原子、またはアルコールのヒドロキシルのアルファ位で炭素にペンダント状に結合されるフッ素化基を含む。特に好ましいものは、ヘキサフルオロアルコール基である。芳香族酸の嵩密度を上昇させるためには、芳香族酸が複数のフッ素化アルコールもしくはその他の基を含むことが好ましい。また、フルオロアルコール基が、結合基を介して芳香族スルホン酸の芳香族環に結合されることも好ましい。好適な結合基は、例えば、硫黄、任意に置換されたアミノ基、アミド、エーテル、カルボニルエステル、スルホニルエステル、スルホン、スルホンアミド、及び二価炭化水素基、例えば、C1−20直鎖、分岐、もしくは環状の任意に置換された炭化水素基、ならびにそれらの組み合わせを含む。
芳香族スルホン酸は、好ましくは、以下の一般式(I)の酸から選択される:
Figure 2016128902
Arは、炭素環式、複素環式、もしくはそれらの組み合わせであり得る、芳香族基を表す。芳香族基は、フェニルもしくはピリジル等の単一の芳香族環、ナフチル、アントラセニル、ピレニル、もしくはキノリニル等の縮合芳香族環、または1,2,3,4−テトラヒドロナフタレン、9,10−ジヒドロアントラセン、もしくはフルオレン等の芳香族ならびに非芳香族環の両方を有する縮合環系を含んでもよい。任意に芳香族基を置換してもよい。本明細書中で使用されるとき、用語「置換」アルキルもしくは芳香族基は、C30アルキル、C30アルケニル、C30アラルキル、C30アリール、−OR、−C30アルキレン−OR、及び−C30アルキリデン−ORから選択される1つ以上の置換基で置換された水素のうちの1つ以上を有する、任意のそのような基を指し、式中、RはH、C30アルキル、C30アルケニル、及びC30アリールから選択される。非置換もしくは置換Arの代わりに、様々な芳香族基を使用することができる。そのような非置換芳香族基は、5〜40個の炭素、好ましくは6〜35個の炭素、より好ましくは6〜30個の炭素を有する。好適な芳香族基は、フェニル、ビフェニル、ナフタレニル、アントラセニル、フェナントレニル、ピレニル、テトラセニル、トリフェニルエニル、テトラフェニル、ベンゾ[f]テトラフェニル、ベンゾ[m]テトラフェニル、ベンゾ[k]テトラフェニル、ペンタセニル、ペリレニル、ベンゾ[a]ピレニル、ベンゾ[e]ピレニル、ベンゾ[ghi]ペリレニル、コロネニル、キノロニル、7,8−ベンゾキノリニル、フルオレニル、及び12H−ジベンゾ[b,h]フルオレニルを含むがそれらに限定されず、それらの各々は置換されていなくても置換されていてもよい。Rは独立して、カルボキシル、ヒドロキシ、ニトロ、シアノ、C1−5アルコキシ、及びホルミルから選択される群を表す。Yは独立して、例えば、硫黄、任意に置換されたアミノ基、アミド、エーテル、カルボニルエステル、スルホニルエステル、スルホン、スルホンアミド、及び二価炭化水素基、例えば、C1−20直鎖、分岐、もしくは環状の任意に置換された炭化水素基、ならびにそれらの組み合わせから選択される結合基を表す。Zは独立して、フッ素化アルコール、フッ素化エステル、置換または非置換アルキル、C5以上の単環式、多環式、縮合多環式脂環式、またはZの少なくとも1つの発生物がフッ素化アルコール基である場合に、任意にヘテロ原子を含み得るアリールから選択される群を表す。aは0以上、典型的には0〜2の整数であり、bは1以上、典型的には1〜2の整数であり、cは1以上、典型的には1〜2の整数であるが、ただし、a+b+cが少なくとも2であり、かつ芳香族基の利用可能な芳香族炭素原子の総数以下であることを条件とする。典型的には、a+b+cは2〜5、より典型的には2〜3である。
本パターントリミング組成物中で使用するための例示的な芳香族スルホン酸は、以下のものを限定することなく含む。
Figure 2016128902
Figure 2016128902
Figure 2016128902
芳香族酸は典型的には、トリミング組成物の全固形分に基づいて、組成物中に、0.01〜20重量%、より典型的には0.1〜10重量%、もしくは1〜5重量%の量で存在する。好適な芳香族酸は商業的に入手可能である、または当業者が容易に作製することができる。
本トリミング組成物は、溶媒または溶媒混合物をさらに含む。本トリミング組成物は、水溶液の形をとることができる。本トリミング組成物を配合かつ成形するための好適な溶媒材料は、本トリミング組成物の非溶媒成分に対して非常に優れた溶解度特性を呈するが、相互混合を最小限に抑えるために、下部フォトレジストパターンを顕著には溶解させない。溶媒は、典型的には、水、有機溶媒、及びそれらの混合物から選択される。本トリミング組成物のための好適な有機溶媒は、例えば、n−ブチルプロピオネート、n−ペンチルプロピオネート、n−ヘキシルプロピオネート、ならびにn−ヘプチルプロピオネート等のアルキルプロピオネート、及び酪酸n−ブチル、酪酸イソブチル、ならびにイソ酪酸イソブチル等の酪酸アルキル等のアルキルエステルと、2,5−ジメチル−4−ヘキサノンならびに2,6−ジメチル−4−ヘプタノン等のケトンと、n−ヘプタン、n−ノナン、n−オクタン、n−デカン、2−メチルヘプタン、3−メチルヘプタン、3,3−ジメチルヘキサンならびに2,3,4−トリメチルペンタン、及びペルフルオロヘプタン等のフッ素化脂肪族炭化水素等の脂肪族炭化水素と、1−ブタノール、2−ブタノール、イソブチルアルコール、tert−ブチルアルコール、3−メチル−1−ブタノール、1−ペンタノール、2−ペンタノール、4−メチル−2−ペンタノール、1−ヘキサノール、1−ヘプタノール、1−オクタノール、2−ヘキサノール、2−ヘプタノール、2−オクタノール、3−ヘキサノール、3−ヘプタノール、3−オクタノール、ならびに4−オクタノール等の直鎖、分岐、もしくは環状C−C一価アルコール等のアルコールと、2,2,3,3,4,4−ヘキサフルオロ−1−ブタノールと、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノールと、2,3,3,4,4,5,5,6,6−デカフルオロ−1−ヘキサノールと、2,2,3,3,4,4−ヘキサフルオロ−1,5−ペンタンジオール、2,2,3,3,4,4,5,5−オクタフルオロ−1,6−ヘキサンジオール、ならびに2,2,3,3,4,4,5,5,6,6,7,7−ドデカフルオロ−1,8−オクタンジオール等のC−Cフッ素化ジオールと、イソペンチルエーテルならびにジプロピレングリコールモノメチルエーテル等のエーテルと、それらの溶媒のうちの1つ以上を含有する混合物と、を含む。それらの有機溶媒のうち、アルコール、脂肪族炭化水素、及びエーテルが好ましい。本トリミング組成物の溶媒成分は、典型的には、本トリミング組成物に基づいて、90〜99重量%の量で存在する。
本トリミング組成物は任意の添加剤を含むことができる。例えば、本トリミング組成物は、レジストパターンの表面領域と反応して、その表面領域を有機溶媒リンス剤中で可溶性にする追加成分を含むことができる。この任意の成分は、好ましくは、−OH、−NH−、−SH、ケトン、アルデヒド、式中Xがハロゲンである−SiX、ビニルエーテル、及びそれらの組み合わせから選択される官能基を含有する。任意の特定の理論によって限定されることを所望せず、成分は、レジストパターン内に拡散し、かつそのパターンのカルボン酸基と反応すると考えられている。この反応結果によって表面に極性変化がもたらされ、その表面を有機溶媒中で可溶性にする。この成分は、例えば、フォトレジストパターンがネガティブ型現像(NTD)によって形成され、そのパターンが、酸不安定基を含むフォトレジストの露光された部分から構成される場合に有用となり得る。そのような成分は、使用される場合、典型的には、本トリミング組成物の全固形分に基づいて、0.1〜10重量%の量で存在する。
本トリミング組成物は、界面活性剤をさらに含むことができる。典型的な界面活性剤は、両親媒性性質を呈するものを含むが、これは、それらの界面活性剤が、親水性であると同時に疎水性でもあり得ることを意味する。両親媒性界面活性剤は、水に対する強い親和性を有する1つまたは複数の親水性頭部基、及び有機物親和性で撥水する長い疎水性尾部を有する。好適な界面活性剤は、イオン性(すなわち、アニオン性ならびにカチオン性)、または非イオン性であってよい。界面活性剤のさらなる実施例は、シリコーン界面活性剤、ポリ(アルキレンオキシド)界面活性剤、及びフッ素化系界面活性剤を含む。好適な非イオン性界面活性剤は、TRITON(登録商標)X−114、X−100、X−45、ならびにX−15等のオクチルならびにノニルフェノールエトキシレートと、TERGITOL(商標)TMN−6(The Dow Chemical Company,Midland,Michigan USA)等の分岐第2級アルコールエトキシレートと、を含むが、それらに限定されない。さらに別の例示的な界面活性剤は、アルコール(第1級ならびに第2級)エトキシレート、アミンエトキシレート、グルコシド、グルカミン、ポリエチレングリコール、ポリ(エチレングリコール−コ−プロピレングリコール)、またはManufacturers Confectioners Publishing Co.of Glen Rock,N.J.によって公開された、McCutcheon’s Emulsifiers and Detergents,North American Edition for the Year 2000に開示される他の界面活性剤を含む。また、アセチレンジオール誘導体である非イオン性界面活性剤も好適であり得る。そのような界面活性剤は、Air Products and Chemicals,Inc.of Allentown,PAから商業的に入手可能である、SURFYNOL(登録商標)及びDYNOL(登録商標)とういう商標名で販売されているものである。追加の好適な界面活性剤は、トリ−ブロックEO−PO−EOコ−ポリマーPLURONIC(登録商標)25R2、L121、L123、L31、L81、L101、及びP123(BASF,Inc.)等の他のポリマー化合物を含む。そのような界面活性剤及び他の任意の添加剤は、使用される場合、典型的には、本トリミング組成物の全固形分に基づいて、組成物中に0.01〜10重量%等の少量で存在する。
本トリミング組成物に架橋剤が存在しないのが好ましいのは、そのような材料は、レジストパターンの寸法を増大させてしまうためである。
本トリミング組成物は以下の既知の手順に従って調製することができる。例えば、溶媒成分中の本組成物の固形成分を溶解することによって、本組成物を調製することができる。本組成物の所望の全固形分含有量は、所望の最終層厚さ等の要因によって決定するであろう。本トリミング組成物の固形分含有量は、本組成物の総重量に基づいて、好ましくは1〜10重量%、より好ましくは1〜5重量%である。
フォトレジストパターントリミング方法
ここで、本発明に従ったフォトレジストパターントリミング技術を用いてフォトリソグラフィーパターンを形成するための例示的な方法フローを示す図1A〜Hを参照して、本発明による方法について説明する。示される方法フローはポジティブ型現像方法のものであるが、ネガティブ型現像(NTD)方法にも本発明を適用することができる。また、示される方法フローは、単一のレジストマスクを使用してトリミングされたフォトレジストパターンを下部基板に転写するパターン形成方法についても説明するが、本トリミング方法は、他のリソグラフィー方法、例えば、リソ−リソ−エッチ(LLE)、リソ−エッチ−リソ−エッチ(LELE)、もしくは自己整合二重パターン形成(SADP)等の二重パターン形成方法で、イオン注入マスクとして使用することができるか、または、フォトレジストパターンのトリミングが有利となるであろう他の任意の他のリソグラフィー方法で使用することができることを、明確にされたい。
図1Aは、様々な層及び特徴を含み得る基板100の横断面を示す。基板は、半導体等、ケイ素等、化合物半導体(例えば、III〜VもしくはII〜VI)、ガラス、石英、セラミック、及び銅等の材料のものであってよい。典型的には、基板は、単一の結晶ケイ素もしくは化合物半導体ウエハ等の半導体ウエハであり、1つ以上の層、及びその表面に形成されるパターン形成された特徴を有することができる。パターン形成される1つ以上の層102を、基板100上に提供することができる。例えば、基板材料内に溝を形成することが所望されるとき、下部ベース基板材料自体を任意にパターン形成することができる。ベース基板材料自体をパターン形成する場合、パターンは基板の層内に形成されるとみなされるべきである。
層は、例えば、アルミニウム、銅、モリブデン、タンタル、チタン、タングステン、白金、そのような金属の窒化物もしくはケイ素化合物、ドープ非結晶質ケイ素、またはドープポリシリコンの層等の1つ以上の導電層と、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、または金属酸化物の層等の1つ以上の誘電層と、単結晶ケイ素等の半導体層と、それらの組み合わせと、を含み得る。エッチングされる層は、様々な技術、例えば、プラズマ促進CVD、低圧CVD、もしくはエピタキシャル成長等の化学気相成長法(CVD)、スパッタリングもしくは蒸発等の物理気相成長法(PVD)、または電気めっきによって形成することができる。エッチングされる1つ以上の層102の特定の厚さは、形成される材料及び特定のデバイスに応じて変化するであろう。
エッチングされる特定の層、フィルム厚さならびにフォトリソグラフィー材料、及び使用される方法に応じて、層102上にハードマスク層103及び/または底部反射防止コーティング(BARC)104を配置し、それらの上にフォトレジスト層106をコーティングすることが所望され得る。エッチングされる層が大きなエッチングの深さを必要とする場合、及び/または特定のエッチング液が不十分なレジスト選択性を有する場合は、例えば、非常に薄いレジスト層を有するハードマスク層を使用することが所望され得る。ハードマスク層を使用する場合、形成されるレジストパターンをハードマスク層103に転写することができ、次いで、そのハードマスク層103を、下層102をエッチングするためのマスクとして使用することができる。好適なハードマスク材料及び形成方法は、当該業界で知られている。典型的な材料には、例えば、タングステン、チタン、窒化チタン、酸化チタン、酸化ジルコニウム、酸化アルミニウム、オキシ窒化アルミニウム、酸化ハフニウム、非結晶質炭素、オキシ窒化ケイ素、及び窒化ケイ素が含まれる。ハードマスク層は、異なる材料の単一の層または複数の層を含むことができる。例えば、化学もしくは物理気相成長法技術によって、ハードマスク層を形成することができる。
基板及び/または下層が、別途、フォトレジスト露光中に相当量の入射放射線を反射して、形成されたパターンの質に悪影響を及ぼしてしまう場合は、底部反射防止コーティンが望ましいものとなり得る。そのようなコーティングによって、焦点深度、露光寛容度、線幅均一性、及びCD制御を改善することができる。レジストが深紫外線(300nm以下)、例えば、KrFエキシマレーザ光(248nm)、またはArFエキシマレーザ光(193nm)に露光される場合は、典型的には反射防止コーティングが使用される。反射防止コーティングは、単一の層または複数の異なる層を含むことができる。好適な反射防止材料及び形成方法は、当該業界で知られている。反射防止材料は、商業的に入手可能なものであり、例えば、AR(商標)商標のもと、Rohm and Haas Electronic Materials LLC(Marlborough,MA USA)によって販売されているもので、AR(商標)40AならびにAR(商標)124反射防止(antireflectant)剤材料等といったものである。
フォトレジスト層106は、酸不安定基を有するマトリックスポリマーを含む化学増幅感光性組成物から形成され、反射防止層(存在する場合)上の基板上に配置される。本フォトレジスト組成物は、スピンコーティング、ディッピング、ローラコーティング、または他の従来のコーティング技術によって、基板に適用することができる。それらの中では、スピンコーティングが典型的である。スピンコーティングでは、利用される特定のコーティング装置、溶液の粘度、コーティングツールの速度、及びスピニングに許容される時間に基づいて、コーティング溶液の固形分含有量を調整して、所望のフィルム厚さをもたらすことができる。フォトレジスト層106の典型的な厚さは、約500〜3000Åである。
次いで、層106をソフトベーキングして層内の溶媒含有量を最小限に抑え、それによって、非粘着コーティングを形成し、かつ基板に対する層の粘着性を改善することができる。熱板上またはオーブン内でソフトベーキングを実施することができるが、熱板で実施するのが典型的である。ソフトベーキング温度及び時間は、例えば、フォトレジストの特定の材料、及び厚さによって決定するであろう。典型的なソフトベーキングは、約90〜150℃の温度、かつ約30〜90秒の時間で実施される。
次いで、フォトレジスト層106を、フォトマスク110によって活性化放射線108に露光することによって、露光された領域と露光されていない領域との間の溶解度に相違を生じさせる。フォトレジスト組成物の本組成物に対して活性化している放射線への露光に対して本明細書中で参照することによって、放射線はフォトレジスト組成物中で潜像を形成し得ることが示される。フォトマスクは、活性化放射線によって露光される、もしくは露光されていないレジスト層の領域にそれぞれ対応する、光学的に透明な領域ならびに光学的に不透明な領域を有する。露光波長は、典型的には、400nm以下、300nm以下、もしくは200nm以下で、例えば193nm、またはEUV波長(例えば、13.4もしくは13.5nm)であるが、好ましいのは、193nm(液浸もしくはドライリソグラフィー)ならびにEUVである。露光エネルギーは、典型的には約10〜80mJ/cmであり、露光ツール及び感光性組成物の成分によって決定する。
フォトレジスト層106の露光に続いて、露光後ベーキング(PEB)を行う。例えば、熱板上またはオーブン内でPEBを実施することができる。PEBの条件は、例えば、特定のフォトレジスト組成物及び層厚さによって決定するであろう。PEBは、典型的には、約80〜150℃の温度、かつ約30〜90秒の時間で実施される。それによって、極性が切り替えられた領域と、切り替えられていない領域(それぞれ露光された領域及び露光されていない領域に対応する)との間の境界によって画定される潜像を形成する。
次いで、フォトレジスト層106を現像してその層の露光された領域を除去し、図1Bに示す複数の特徴を有するレジストパターン106’を形成する露光されていない領域を残す。それらの特徴は、例えば、線及び/またはコンタクトホールパターンを、パターン化される下層内に形成するのを可能にする、複数の線及び/または円柱ポストを含み得るが、それらに限定されない。それらの形成されたパターンは、Lと示される初期寸法、線パターンの場合の線幅、またはポストパターンのポスト直径を有する。ネガティブ型現像方法では、フォトレジスト層の露光されていない領域が除去され、かつ露光された領域が残存してレジストパターンを形成する場合、有機溶媒現像液を使用する。その有機現像液は、例えば、ケトン、エステル、エーテル、炭化水素、及びそれらの混合物から選択される溶媒であってよいが、2−ヘプタノン及び酢酸n−ブチルが典型的である。
本明細書中に記載されるフォトレジストパターントリミング組成物の層112は、図1Cに示されるフォトレジストパターン106’上に形成される。本トリミング組成物は、典型的には、スピンコーティングによって基板に適用される。利用される特定のコーティング装置、溶液の粘度、コーティングツールの速度、及びスピニングに許容される時間に基づいて、コーティング溶液の固形分含有量を調整して、所望のフィルム厚さをもたらすことができる。パターントリミング層112の典型的な厚さは、200〜1500Åである。
次いで、図1Dに示されるように、基板をベーキングしてトリミング層内の溶媒を除去すると、遊離酸が下部レジストパターン106’の表面内に拡散することを可能にし、かつレジストパターン表面領域114内での極性変化反応を可能にする。ベーキングを熱板またはオーブンを用いて実施することができるが、熱板が典型的である。好適なベーキング温度は、50℃超、例えば70℃超、90℃超、120℃超、または150℃超であるが、70〜160℃の温度かつ約30〜90秒の時間が典型的である。単一のベーキングステップが典型的であるが、複合ステップのベーキングをレジストプロファイルの調整に使用する、かつ役立たせることができる。
次いで、フォトレジストパターンを、リンス剤、典型的には現像溶液と接触させて、残りのトリミング組成物層112、及びフォトレジストパターンの表面領域114を除去し、それによって得られたトリミングされたパターン106”を図1Eに示す。リンス剤は、典型的には、水性アルカリ現像液、例えば第4級水酸化アンモニウム溶液、及び、例えば0.26の規定度(N)(2.38重量%)のテトラメチル水酸化アンモニウム(TMAH)等のテトラ−アルキル水酸化アンモニウム溶液である。代替として、有機溶媒現像液が使用されてもよく、例えば、ケトン、エステル、エーテル、炭化水素、及びヘプタノンならびに酢酸n−ブチル等のそれらの混合物から選択される溶媒が挙げられる。さらに、リンス剤は水であってもよい、または水を含んでもよい。得られた構造を図1Eに示す。レジストパターンは、トリミング後、寸法(L)を有するが、この寸法はトリミング前の形状と比較して小さい。
レジストパターン106”をエッチングマスクとして使用することで、BARC層104を選択的にエッチングしてBARCパターン104’を形成し、それによって図1Fに示される下部ハードマスク層103を露光する。次いで、そのレジストパターンを再度エッチングマスクとして使用することで、ハードマスク層を選択的にエッチングすることによって、パターン形成されたBARC、及び図1Gに示されるハードマスク層103’がもたらされる。BARC層ならびにハードマスク層をエッチンするための好適なエッチング技術ならびに化学的性質は当該業界で知られており、例えば、それらの層の特定の材料によって決定するであろう。反応性イオンエッチング等のドライエッチング方法が典型的である。次いで、既知の技術、例えば、酸素プラズマ灰化を用いて、レジストパターン106”及びパターン形成されたBARC層104’を基板から除去する。次いで、ハードマスクパターン103’をエッチングマスクとして使用して、1つ以上の層102を選択的にエッチングする。下層102をエッチングするための好適なエッチング技術及び化学的性質は当該業界で知られているが、反応性イオンエッチング等のドライエッチング方法が典型的である。次いで、既知の技術、例えば、反応性イオンエッチング等のドライエッチング方法、またはウェット剥離を用いて、パターン形成されたハードマスク層103’を基板表面から除去する。得られた構造は、図1Hに示されるエッチングされた特徴102’のパターンである。代替の例示的な方法では、ハードマスク層103を用いることなくフォトレジストパターン106”を用いて、層102を直接パターン形成することが望ましい。レジストパターンを用いる直接パターン形成を採用することができるか否かは、含まれる材料、レジスト選択性、レジストパターン厚さ、及びパターン寸法等の要因によって決定するであろう。
以下の非限定実施例は、本発明を例示するものである。
酸合成
実施例1
以下のスキーム1に示される反応順序に従って、3,5−ビス((4,4,4−トリフルオロ−3−ヒドロキシ−3−(トリフルオロメチル)ブトキシ)カルボニル)ベンゼンスルホン酸(SIPA−DiHFA)(酸A)を調製した。
Figure 2016128902
5−スルホイソフタル酸(6.3g、24.3ミリモル)を50重量%の水溶液として、15g(70.7ミリモル)の4,4,4−トリフルオロ−3−(トリフルオロメチル)ブタン−1,3−ジオールと、窒素流下、室温で混合した。次いで、反応混合物の温度を、110〜120℃に上昇させた。この温度で、副生成物である水を蒸発させ続けながら、反応を2〜3時間実行した。次いで、その反応混合物を1M HCl水溶液中に注いだ。5〜10分後、その混合物は2つの層内に分離した。有機層を回収して、1M HCl水溶液で3度洗浄し、次いで、ジエチルエーテルで抽出した。次いで、その粗生成物を、MgSOを介して乾燥させた。回転蒸発によって揮発性汚染物質を除去した。その粗生成物をヘプタン:アセトン(8:2)でさらに洗浄したところ、固体酸Aが64%の収率でもたらされた。H NMR((CDCO,500MHz):δ2.63(t,4H),4.68(t,4H),7.11(bs,3H),8.68(m,3H)。
フォトレジスト組成物の調製
実施例2
以下のモノマーM1〜M5を使用して、以下に記載されるフォトレジスト(フォトレジスト組成物A)を調製するためのポリマーを形成した。
Figure 2016128902
4.54gのポリマーA(M1/M2/M3/M4/M5=2/1/4/1/2モル比、MW=10K)、0.401gの(4−t−ブチルフェニル)テトラメチレンスルホニウムノルボルニルペルフルオロエトキシエチルスルホネート(TBPTMS−NBPFEES)、0.178gのトリフェニルスルホニウム4,4,5,5,6,6−ヘキサフルオロジヒドロ−4H−1,3,2−ジチアジン1,1,3,3−テトラオキシド(TPS−PFSI−CY6)、0.039gの1−(テルトブチルオキシカルボニル)−4−ヒドロキシピペリジン(TBOC−4HP)、0.008gのPOLYFOX 656界面活性剤(Omnova Solutions Inc.)、75.87gのプロピレングリコールメチルエーテルアセテート、及び18.97gのシクロヘキサノンを合わせて、ポジティブ化学増幅フォトレジスト組成物を調製した。
フォトレジストパターンが形成されたウエハの調製
80nmのBARC層(AR(商標)40A反射防止剤(antireflectant)、Dow Electronic Materials,Marlborough,MA USA)でコーティングされた8インチのケイ素ウエハを、フォトレジスト組成物Aを用いてスピンコーティングして、100℃で60秒間ソフトベーキングしたところ、900Åのレジスト層厚さがもたらされた。このウエハを、NA=0.75であるASML ArF1100スキャナー、双極子35Y照明(0.89/0.64シグマ)、及びアウター/インナーシグマが0.89/0.64である双極子−35Yの下、120nmで1:1のPSM形状を有する線ならびに空間パターンを有するマスクを用いて露光した。その露光されたウエハを、100℃で60秒間露光後ベーキングして、0.26N TMAH溶液を用いて現像したところ、120nmの1:1の線及び空間パターン(デューティー比=1:1)画像化レジスト層がもたらされた。パターンのCDは、500ボルト(V)の加速電圧ならびに5.0ピコアンペア(pA)のプローブ電流で動作するHitachi 9380 CD−SEMを使用する、150Kxの倍率を用いたトップダウン走査電子顕微鏡(SEM)によって取り込まれた画像を処理して決定した。各ウエハに対して3つの露光寛容度を取得して平均化した。次いで、多項式回帰を用いて、その平均化された露光寛容度を適合させて、レジストパターントリミングを行わない場合の120nmの線の正確なサイジング線量を決定した。次いで、このサイジング線量を、レジストパターントリミングされたウエハの多項式回帰を用いて使用して、パターントリミングされたウエハそれぞれの最終CDを算出した。CD測定の結果を表1に示す。
フォトレジストトリミング組成物、パターントリミング、及び評価
実施例3(比較)(PTC−1)
n−ブチルメタクリレート/メタクリル酸ポリマー(77/23重量比)の0.628gのコポリマーと、0.02gのp−トルエンスルホン酸(PTSA)と、23.48gのメチルイソブチルカルビノールと、5.87gのイソアミルエーテルとを、全ての成分が溶解するまで混合した。その混合物を0.2ミクロンのナイロンフィルタで濾過したところ、フォトレジストトリミング組成物PTC−1がもたらされた。PTC−1の60nmのフィルムを、実施例3のフォトレジストコーティングされたウエハ上でスピンコーティングし、熱板上で120秒間、70℃でベーキングして、SHノズルを用いて、2.38重量%のTMAH現像液中で12秒間現像した。トリミングされたパターンのCDを、予めトリミングされたパターンと同じ様式で測定し、その結果を表1に示す。
実施例4(PTC−2)
n−ブチルメタクリレート/メタクリル酸ポリマー(77/23重量比)の0.579gのコポリマーと、0.069gの酸A(SIPA−DiHFA)と、12.007gのメチルイソブチルカルビノールと、5.87gのイソアミルエーテルとを、全ての成分が溶解するまで混合した。PCT−2中の酸の含有量は、実施例3のPTSA含有量に対して等モルである。その混合物を0.2ミクロンのナイロンフィルタを用いて濾過したところ、フォトレジストトリミング組成物PTC−2がもたらされた。PTC−3の60nmのフィルムを、実施例3のフォトレジストコーティングされたウエハ上でスピンコーティングし、熱板上で70℃、80℃、90℃、及び100℃の各温度で60秒間ベーキングし、SHノズルを用いて、2.38重量%のTMAH現像液中で12秒間現像した。トリミングされたパターンのCDを、予めトリミングされたパターンと同じ様式で測定し、その結果を表1に示す。
Figure 2016128902
レジストパターントリミング組成物PTC−2は、バルキー酸SIPA−DiHFA基を等モルの負荷で含有して、顕著なパターントリミングをもたらしたが、比較実施例3のp−トルエンスルホン酸のものには満たなかった。パターントリミングの量は、ベーキング温度が70から100℃に上昇するにつれて増加したが、これは、レジスト内の酸が緩徐に拡散したことを示している。
パターンのLWR値は、上述のツールならびに条件を用いるトップダウンSEMによって取り込まれた画像を処理することによって決定した。局所的なLWRを、ウエハ上の5つの異なる位置内の20地点で測定し、その測定値を平均化してLWRを算出した。SEM画像を処理することで、1:1及び1:8パターンのCDを決定した。
以下の方程式を用いて、トリミング前ならびにトリミング後のCD測定に基づいて、等密度バイアスを算出した:
IDB=ΔCD1:8−ΔCD1:1
式中、IDB=等密度バイアスであり、ΔCD1:8=[(トリミング前の120nmの1:8パターンのCD)−(トリミング後の120nmの1:8パターンのCD)]であり、及びΔCD1:1=[(トリミング前の120nmの1:1パターンのCD)−(トリミング後の120nmの1:1パターンのCD)]である。等密度バイアスによって、従来のフォトマスクを使用して、光近接効果補正(OPC)を行う必要なく、孤立したパターンならびに密なパターンをマスク上に印刷することができるか否かの示唆がもたらされる。OPCが必要である場合は、典型的には、新規のフォトマスクが必要とされる。10nm以上の等密度バイアスは不十分とみなされ、10nm未満は十分とみなされる。CD、LWR、及び等密度バイアスの結果を表2に示す。
Figure 2016128902
表2に示す結果によって、CDにおいて、トリミング組成物PTC−2によってフォトレジストパターンが効果的に減少したことが示される。予めトリミングされたレジストパターンと比較して、LWRは顕著に改善した。実施例3ならびに4に対して異なるベーキング温度を使用する一方で、実施例3でベーキング温度が90℃に上昇すると、LWRならびに等密度バイアスが悪化し、ΔCDが上昇することが予測される。そのような前提の下、結果によって、比較実施例3のトリミング組成物と比較して、本発明によるトリミング組成物PTC−2の等密度バイアスが顕著に改善されることが示される。

Claims (10)

  1. フォトレジストパターントリミング組成物であって、マトリックスポリマー、芳香族スルホン酸、及び溶媒を含み、前記芳香族スルホン酸が1つ以上のフッ素化アルコール基を含む、前記フォトレジストパターントリミング組成物。
  2. 前記フッ素化アルコール基が、前記アルコールのヒドロキシルのアルファ位で炭素に結合されるフッ素原子を含む、請求項1に記載の前記フォトレジストパターントリミング組成物。
  3. 前記フッ素化アルコール基が、前記アルコールのヒドロキシルのアルファ位で炭素にペンダント状に結合されるフッ素化基を含む、請求項1または2に記載の前記フォトレジストパターントリミング組成物。
  4. 前記芳香族スルホン酸がヘキサフルオロアルコール基を含む、請求項1〜3のいずれかに記載の前記フォトレジストパターントリミング組成物。
  5. 前記芳香族スルホン酸が複数のフッ素化アルコール基を含む、請求項1に記載の前記フォトレジストパターントリミング組成物。
  6. 前記フッ素化アルコール基が、エステル基を介して前記芳香族スルホン酸の芳香族環に結合される、請求項1〜5のいずれかに記載の前記フォトレジストパターントリミング組成物。
  7. 前記芳香族スルホン酸が以下の酸から選択される、請求項1に記載の前記フォトレジストパターントリミング組成物。
    Figure 2016128902
    Figure 2016128902
    Figure 2016128902
  8. 前記マトリックスポリマーが、ポリ(メタ)アクリレートポリマーである、請求項1〜7のいずれかに記載の前記フォトレジストパターントリミング組成物。
  9. フォトレジストパターンをトリミングする方法であって、
    (a)半導体基板を提供することと、
    (b)酸不安定基を含むマトリックスポリマー、光酸発生剤、及び溶媒を含むフォトレジスト組成物から形成されるフォトレジストパターンを、前記基板上に形成することと、
    (c)請求項1〜8のいずれかに記載のフォトレジストトリミング組成物を前記基板上の前記フォトレジストパターン上にコーティングすることと、
    (d)前記コーティングされた基板を加熱し、それによって、前記フォトレジストパターンの表面領域内の前記フォトレジストマトリックスポリマーの極性を変化させることと、
    (e)前記フォトレジストパターンとリンス剤とを接触させて前記フォトレジストパターンの前記表面領域を除去し、それによって、トリミングされたフォトレジストパターンを形成することと、を含む、前記方法。
  10. 前記フォトレジストパターンが液浸リソグラフィー方法で形成される、請求項9に記載の前記方法。
JP2015245014A 2014-12-31 2015-12-16 フォトレジストパターントリミング組成物及び方法 Active JP6261091B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462099095P 2014-12-31 2014-12-31
US62/099,095 2014-12-31

Publications (3)

Publication Number Publication Date
JP2016128902A true JP2016128902A (ja) 2016-07-14
JP2016128902A5 JP2016128902A5 (ja) 2017-02-23
JP6261091B2 JP6261091B2 (ja) 2018-01-17

Family

ID=56163998

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015245014A Active JP6261091B2 (ja) 2014-12-31 2015-12-16 フォトレジストパターントリミング組成物及び方法

Country Status (5)

Country Link
US (1) US9696629B2 (ja)
JP (1) JP6261091B2 (ja)
KR (1) KR101790056B1 (ja)
CN (1) CN105739242B (ja)
TW (1) TWI617611B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9799534B1 (en) 2017-01-04 2017-10-24 International Business Machines Corporation Application of titanium-oxide as a patterning hardmask
JP2018109763A (ja) * 2016-12-31 2018-07-12 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC パターン形成方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
TWI628159B (zh) * 2015-10-31 2018-07-01 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法
TWI615383B (zh) 2015-10-31 2018-02-21 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法
US9760011B1 (en) 2016-03-07 2017-09-12 Rohm And Haas Electronic Materials Llc Pattern trimming compositions and methods
US9869933B2 (en) 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods
JP6556673B2 (ja) * 2016-07-26 2019-08-07 Hoya株式会社 フォトマスクの製造方法、描画装置、表示装置の製造方法、フォトマスク基板の検査方法、及びフォトマスク基板の検査装置
US9910355B2 (en) * 2016-07-29 2018-03-06 Rohm And Haas Electronic Materials Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
US10133179B2 (en) * 2016-07-29 2018-11-20 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10241411B2 (en) * 2016-10-31 2019-03-26 Rohm And Haas Electronic Materials Llc Topcoat compositions containing fluorinated thermal acid generators
US11003074B2 (en) 2017-05-01 2021-05-11 Rohm And Haas Electronic Materials Llc Pattern formation methods and photoresist pattern overcoat compositions
TW202016279A (zh) * 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
CN109503434B (zh) * 2018-11-16 2021-08-06 山东第一医科大学(山东省医学科学院) 一种聚酯ptt的改性剂间苯二甲酸二丙二醇酯-5-磺酸钠的多组分催化合成方法
US11506981B2 (en) 2019-05-31 2022-11-22 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods
US11754927B2 (en) 2019-05-31 2023-09-12 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and pattern formation methods

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004212967A (ja) * 2002-12-30 2004-07-29 Hynix Semiconductor Inc フォトレジスト用オーバーコーティング組成物及びこれを利用したフォトレジストパターン形成方法
JP2013156628A (ja) * 2011-12-31 2013-08-15 Rohm & Haas Electronic Materials Llc フォトレジストパターントリミング方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
JP2014142634A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc フォトレジストパターントリミング方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04329216A (ja) 1991-05-02 1992-11-18 Hitachi Cable Ltd 絶縁電線
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
JP2001215734A (ja) * 2000-02-04 2001-08-10 Tokyo Ohka Kogyo Co Ltd レジストパターンの表面欠陥減少方法及びそれに用いる表面欠陥減少用処理液
JP4329216B2 (ja) 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
US6492075B1 (en) 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
JP2002299202A (ja) 2001-03-29 2002-10-11 Sony Corp 半導体装置の製造方法
US6869899B2 (en) 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
JP3953822B2 (ja) 2002-01-25 2007-08-08 富士通株式会社 レジストパターン薄肉化材料、レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
JP3743753B2 (ja) * 2002-03-20 2006-02-08 竹本油脂株式会社 ペルフルオロアルキルナフタレンスルホン酸塩、その製造方法、分散剤及び合成高分子用帯電防止剤
CN1288719C (zh) 2003-03-10 2006-12-06 联华电子股份有限公司 图案光刻胶的微缩制造过程
US7862982B2 (en) 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
TWI510854B (zh) 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
CN104749888B (zh) * 2013-12-30 2019-12-10 罗门哈斯电子材料有限公司 光致抗蚀剂图案修整组合物和方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004212967A (ja) * 2002-12-30 2004-07-29 Hynix Semiconductor Inc フォトレジスト用オーバーコーティング組成物及びこれを利用したフォトレジストパターン形成方法
JP2013156628A (ja) * 2011-12-31 2013-08-15 Rohm & Haas Electronic Materials Llc フォトレジストパターントリミング方法
JP2013218191A (ja) * 2012-04-11 2013-10-24 Shin Etsu Chem Co Ltd パターン形成方法
JP2014142634A (ja) * 2012-12-31 2014-08-07 Rohm & Haas Electronic Materials Llc フォトレジストパターントリミング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018109763A (ja) * 2016-12-31 2018-07-12 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC パターン形成方法
US9799534B1 (en) 2017-01-04 2017-10-24 International Business Machines Corporation Application of titanium-oxide as a patterning hardmask

Also Published As

Publication number Publication date
CN105739242A (zh) 2016-07-06
TWI617611B (zh) 2018-03-11
KR20160082472A (ko) 2016-07-08
TW201623414A (zh) 2016-07-01
JP6261091B2 (ja) 2018-01-17
KR101790056B1 (ko) 2017-10-27
US9696629B2 (en) 2017-07-04
CN105739242B (zh) 2020-03-17
US20160187783A1 (en) 2016-06-30

Similar Documents

Publication Publication Date Title
JP6261091B2 (ja) フォトレジストパターントリミング組成物及び方法
JP6108832B2 (ja) フォトレジストパターントリミング方法
JP6328931B2 (ja) フォトレジストパターントリミング方法
JP6456146B2 (ja) フォトレジストパターントリミング組成物および方法
JP6336545B2 (ja) 熱酸発生剤及びフォトレジストパターントリミング組成物ならびに方法
JP6313397B2 (ja) 熱酸発生剤及びフォトレジストパターントリミング組成物ならびに方法
JP2023138989A (ja) フォトレジストパターントリミング組成物及びパターン形成方法
JP2019219680A (ja) パターントリミング組成物及び方法
JP2018109763A (ja) パターン形成方法
JP7461917B2 (ja) フォトレジストパターントリミング組成物及びフォトレジストパターンをトリミングする方法
JP7164563B2 (ja) フォトレジストパターントリミング組成物及びパターン形成方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20160502

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170118

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20170118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170810

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171208

R150 Certificate of patent or registration of utility model

Ref document number: 6261091

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250