JP2014528181A - 組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス - Google Patents

組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス Download PDF

Info

Publication number
JP2014528181A
JP2014528181A JP2014533585A JP2014533585A JP2014528181A JP 2014528181 A JP2014528181 A JP 2014528181A JP 2014533585 A JP2014533585 A JP 2014533585A JP 2014533585 A JP2014533585 A JP 2014533585A JP 2014528181 A JP2014528181 A JP 2014528181A
Authority
JP
Japan
Prior art keywords
etching
oxide
plasma
substrate
oxide material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014533585A
Other languages
English (en)
Other versions
JP5960270B2 (ja
Inventor
ゲーロード,リチャード,エイチ
メッサー,ブレイズ,ジェイ
クマール,カウシィク,エイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2014528181A publication Critical patent/JP2014528181A/ja
Application granted granted Critical
Publication of JP5960270B2 publication Critical patent/JP5960270B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半導体装置を形成する方法。第1及び第2の材料を有する基板が提供され、第2の材料は、第1の材料によって吸蔵される。前記基板は、第1のノンプラズマエッチングプロセスを使用してエッチングされ、前記プロセスは、第2の材料をエッチングする速度と比較してより速い速度で第1の材料をエッチングする。第1のノンプラズマエッチングプロセスは、第1の材料の少なくとも一部の上に重なる第2の材料を曝露する。第2の材料は、次に、反応性ガスを含有するプラズマを使用してエッチングされ、第1の材料の少なくとも一部を曝露する。第2の材料をエッチングすることにより曝露された第1の材料の少なくとも一部を含む第1の材料が、第2のノンプラズマエッチングプロセスを使用してエッチングされる。

Description

本発明は、基板を処理するためのシステム及び方法に関し、特に、基板の化学的及び熱的処理のためのシステム及び方法に関する。
リプレースメントメタルゲート(「RMG」)の展開中、残留する炭素質の汚染物質がフォトレジストステップの間に形成され得る。従来の残留レジスト除去は、別の所望される箇所においてレジストを残す必要があるために、実行可能な選択肢ではない場合がある。
多くは、炭素質の汚染物質は、トレンチ酸化物(trench oxide)(「TO」)の空いた領域内に埋め込まれ、例えば化学的酸化物除去(「COR」)プロセス等の従来のエッチング手順による除去を困難にしている。実際、炭素質の汚染物質の存在は、完全な酸化物除去を阻止する。
従って、フォトレジスト層等、基板上の他の層若しくは構造物にダメージを与えるか又は与えることなく、炭素質の汚染物質の存在下で酸化物材料が選択的に除去され得るプロセスの必要性がある。
本発明は、装置の他の構造物又は層にダメージを与えることなく炭素質の汚染物質を除去する方法を提供する。本発明の一実施形態によると、半導体装置を形成する方法は、第1及び第2の材料を有する基板を提供するステップを含み、第2の材料は、第1の材料によって吸蔵される。第1の材料は第1のノンプラズマエッチングプロセスを使用してエッチングされ、該プロセスは、第2の材料をエッチングする速度と比較してより速い速度で第1の材料をエッチングする。第1の材料をエッチングすることによって、第1の材料の少なくとも一部の上に重なる第2の材料が曝露される。第2の材料は、次に、反応性ガスを含有するプラズマを使用してエッチングされ、残留する第1の材料を曝露する。第2の材料をエッチングすることによって、前記第1の材料の少なくとも一部が曝露される。第2の材料をエッチングすることによって曝露された前記第1の材料の少なくとも一部を含む第1の材料は、第2のノンプラズマエッチングプロセスを使用してエッチングされる。
本発明の一実施形態によると、半導体装置を形成する方法は、酸化物材料、及び、酸化物材料によって吸蔵される炭素材料を有する基板を提供するステップを含む。酸化物材料は第1の化学的酸化物除去プロセスを使用してエッチングされ、該プロセスは、炭素材料をエッチングする速度と比較してより速い速度で酸化物材料をエッチングするように構成される。酸化物材料をエッチングすることによって、酸化物材料の少なくとも一部の上に重なる炭素材料が曝露される。炭素材料は、反応性ガスを含有するプラズマを使用してエッチングされ、前記酸化物材料の少なくとも一部を曝露する。前記曝露された酸化物材料の少なくとも一部を含む酸化物材料は、第2の化学的酸化物除去プロセスを使用してエッチングされる。
本発明のさらに別の実施形態は、酸化物材料及び炭素材料を有する基板を提供するステップを含む、半導体装置を形成する方法を対象とする。酸化物材料はノンプラズマエッチングプロセスを使用してエッチングされ、該プロセスは、炭素材料をエッチングする速度よりも速い速度で酸化物材料をエッチングするように構成される。ノンプラズマエッチングはさらに炭素材料を曝露する。炭素材料は、反応性ガスを含有するプラズマを使用してエッチングされる。酸化物材料は、次に、ノンプラズマエッチングプロセスを用いてさらにエッチングされる。酸化物層の厚さが決定され、さらに、所定の厚さと決定された厚さとの比較に基づき、エッチングは停止するか、又は、決定された厚さがほぼ所定の厚さになるまで、プラズマ及びノンプラズマエッチングプロセスが繰り返される。
本明細書に組み込まれ且つ本明細書の一部を構成する付随の図面は、本発明の実施形態を例示し、さらに、上記の本発明の概略な説明及び以下の詳細な説明と共に、本発明を説明するのに役立つ。
本発明の一実施形態による、半導体装置を形成する1つの例証的な方法を例示する流れ図である。 図1の方法に従って処理されている基板を有する装置の一連の断面図のうちの1つである。 図1の方法に従って処理されている基板を有する装置の一連の断面図のうちの1つである。 図1の方法に従って処理されている基板を有する装置の一連の断面図のうちの1つである。 図1の方法に従って処理されている基板を有する装置の一連の断面図のうちの1つである。 本発明の一実施形態による図1の例証的な方法の少なくとも一部を行うのに適したノンプラズマ処理システムの概略図である。 本発明の一実施形態による図1の例証的な方法の少なくとも一部を行うのに適したプラズマ処理システムの概略図である。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されている別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されているさらに別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されているさらに別の基板の一連の断面図のうちの1つである。 図1の方法に従って処理されているさらに別の基板の一連の断面図のうちの1つである。 本発明の一実施形態による、半導体装置を形成する1つの例証的な方法を例示する流れ図である。
次に、図を参照、特に、図1及び2Aを参照すると、本発明の一実施形態による、基板12を有する装置11を処理する方法10が記載されている。基板12は、埋め込まれた汚染物質14を有するシリコン酸化膜を含んでもよい。汚染物質14は、いかなる炭素副産物を含めた事実上の炭素質、又は、従来のノンプラズマエッチング手順に抵抗性である他の構造体であってもよい。汚染物質14は、フォトレジストステップから残る人工産物、二酸化ケイ素の堆積の間に組み込まれる1つ又は複数の不純物、又は、ノンプラズマエッチングプロセス自体の間に形成される副産物であってもよい。
方法10は、図3Aにおいて示されているもの等のノンプラズマ処理システム18において行ってもよい酸化炭素除去(「COR」)プロセスを用いる16において開始されてもよい。処理システム18は、処理チャンバ20、及び、そこに流体的に連結されるガスアセンブリ22を含む。ガスアセンブリ22は、複数のプロセスガス供給24、26、28、30をさらに含み、各ガス供給24、26、28、30は、処理チャンバ20に流体的に連結され、さらに、1つ又は複数の反応物又は触媒を含有する。反応物又は触媒は、例えば、無水のHF及びNHを含んでもよい。希釈ガスを使用してもよく、さらに、He、Ne及びAr等の不活性ガスを含んでもよい。図示されていないけれども、ガスアセンブリ22は、1つ又は複数の圧力制御装置、1つ又は複数の流れ制御装置、1つ又は複数のフィルター、1つ又は複数の弁、及び/又は、1つ又は複数の流れセンサーをさらに含んでもよい。流れ制御装置は、処理チャンバ20内に1つ又は複数のプロセスガスを入れるために迅速に開かれるように構成される空気式駆動弁(pneumatic driven valves)、電気機械(ソレノイド)弁、及び/又は、ハイレートパルスガス噴射弁を含んでもよい。
基板支持物32は、処理チャンバ20内に置かれ、その上にある基板12を支持し、さらに、例えばサーモスタット等、1つ又は複数の基板のパラメータを制御するように構成された基板制御システム34を含んでもよい。
圧力制御システム36は、ダクト38を介して処理チャンバ20に連結され、さらに、真空ポンプシステム40及び弁42を含み、圧力制御システムは36は、CORプロセスに適した圧力まで処理チャンバ20を制御可能に真空排気するように構成される。真空ポンプシステム40は、1秒あたり約5000リットル(及びそれ以上)までのポンプ速度の能力を持つターボ分子真空ポンプ(「TMP」)又は低温ポンプを含んでもよく、さらに、弁42は、チャンバ圧力を調整するためのゲート弁を含んでもよい。さらに、チャンバプロセスをモニターするための装置(図示せず)が処理チャンバ20に連結されてもよく、例えば、MKS Instruments社(Andover、MA)から商業的に入手可能なType628B Baratron絶対圧キャパシタンスマノメータを含んでもよい。
或いは又は加えて、制御装置44を、1つ又は複数のさらなる制御装置/コンピュータ(図示せず)に連結させてもよく、そのさらなる制御装置/コンピュータから仕組み及び/又は構成の情報を得てもよい。制御装置44を使用して、いかなる数のプロセスガス供給を構成してもよく、そこからのデータを収集、提供、処理、記憶及び/又は表示してもよい。制御装置44は、プロセスガス供給24、26、28、30のうち1つ又は複数のプロセスガス供給を制御するための多くのアプリケーションを含んでもよく、さらに、所望される場合、プロセスガス供給24、26、28、30のうち1つ又は複数のプロセスガス供給をモニター及び/又は制御するためのユーザーにとって使いやすいインターフェースを提供することができるグラフィカルユーザーインターフェース(「GUI」、図示せず)を含んでもよい。
さらに、図3Aを参考にすると、制御装置44は、マイクロプロセッサ、メモリ、及び、ノンプラズマ処理システム18に対してインプットを伝える及び作動させる、並びに、ノンプラズマ処理システム18からのアウトプットをモニターするのに十分な制御電圧を生じる能力を持つデジタルI/Oポートを含んでもよい。さらに、制御装置44は、処理チャンバ20、基板支持物32、ガスアセンブリ22、プロセスガス供給24、26、28、30、基板制御システム34及び圧力制御システム36に連結させてもよく、さらに、それらと情報を交換してもよい。例えば、制御装置44のメモリにおいて記憶されるプログラムを利用して、プロセスレシピに従って上記の処理システム18の構成要素に対してインプットを作動させ、CORエッチングプロセスを行ってもよい。制御装置44の1つの例は、Dell社(Austin、Texas)から商業的に入手可能なDELL PRECISION WORKSTATION 610TMである。
しかし、制御装置44は、メモリ内に含有される1つ又は複数の一連の1つ又は複数の指示を実行するプロセッサに応じて本発明のマイクロプロセッサベースの処理ステップの一部又は全てを行う汎用コンピュータシステムとして実行してもよい。そのような指示は、ハードディスク又は可換型メディアドライブ等、別のコンピュータ読取可能媒体から制御装置メモリ内に読み込まれてもよい。マルチプロセシング配置における1つ又は複数のプロセッサも、制御装置マイクロプロセッサとして利用して、メインメモリに含有される一連の指示を実行することができる。別の実施形態において、コンピュータに組み込まれている回路を、ソフトウェアの指示の代わりに、又は、それと組み合わせて使用してもよい。このように、実施形態は、いかなる特定のハードウェア回路とソフトウェアとの組み合わせにも限定されない。
制御装置44は、本発明の教えに従ってプログラムされた指示を保存するため、及び、本発明を実行するのに必要であり得るデータ構造、テーブル、記録、又は他のデータを含有するために少なくとも1つのコンピュータ読取可能媒体又は制御装置メモリ等のメモリを含む。コンピュータ読取可能媒体の例は、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、若しくは、いかなる他の磁気媒体、コンパクトディスク(例えばCD−ROM等)、若しくは、いかなる他の光学媒体、パンチカード、紙テープ、若しくは、ホールパターンを有した他の物理媒体、搬送波(以下に記載)、又は、コンピュータが読み取ることができるいかなる他の媒体でもある。
コンピュータ読取可能媒体のいずれか又はその組み合わせに記憶されるものには、制御装置を制御するため、本発明を実行する1つ又は複数の装置を駆動させるため、及び/又は、制御装置がヒューマンユーザーと相互作用するのを可能にするためのソフトウェアがある。そのようなソフトウェアは、装置ドライバ、オペレーティングシステム、開発ツール及びアプリケーションソフトウェアを含んでもよいが、それに限定されない。そのようなコンピュータ読取可能媒体は、本発明の実行において行われる処理の全て又は(処理が分配されている場合には)一部を行うための本発明のコンピュータプログラムプロダクトをさらに含む。
コンピュータコード装置は、それだけに限定されないが、スクリプト、解釈可能なプログラム、ダイナミックリンクライブラリ(「DLL」)、ジャバクラス及び完全な実行可能プログラムを含むいかなる解釈可能又は実行可能なコード機構であってもよい。さらに、本発明の処理の一部は、より優れたパフォーマンス、信頼性及び/又はコストのために分配されてもよい。
本明細書において使用される場合、「コンピュータ読取可能媒体」という用語は、実行のために制御装置44のプロセッサに対して指示を提供することに関与するいかなる媒体も意味する。従って、コンピュータ読取可能媒体は、それに限定されないが、不揮発性媒体、揮発性媒体及び伝送媒体を含む多くの形をとってもよい。不揮発性媒体は、例えば、ハードディスク若しくは可換型メディアドライブ等、光学、磁気ディスク、及び、光磁気ディスクを含む。揮発性媒体は、メインメモリ等のダイナミックメモリを含む。さらに、種々の形状のコンピュータ読取可能媒体は、実行のための制御装置44のプロセッサに対する1つ又は複数の一連の1つ又は複数の指示を実行することに関与し得る。例えば、指示は最初に、遠隔コンピュータの磁気ディスク上に保有されていてもよい。遠隔コンピュータは、本発明の全て又は一部を離れて実行するための指示を、ダイナミックメモリ内にロードし、さらに、その指示をネットワーク上で制御装置まで送ることができる。
制御装置44は、処理システム18に対して近くに置かれてもよく、又は、処理システム18に対して離して置かれてもよい。例えば、制御装置44は、直接接続、イントラネット、インターネット又は無線接続のうち少なくとも1つを使用して処理システム18とデータを交換してもよい。制御装置44は、例えばカスタマーサイト(すなわちデバイスメーカー等)にてイントラネットに連結させてもよく、又は、例えばベンダーサイト(すなわち、機器製造業者)にてイントラネットに連結させてもよい。加えて、例えば、制御装置44は、インターネットに連結させてもよい。さらに、別のコンピュータ(すなわち、制御装置、サーバー等)は、例えば制御装置44にアクセスして、直接接続、イントラネット又はインターネットのうち少なくとも1つを介してデータを交換してもよい。当業者にはまた正しく理解されるように、制御装置44は、無線接続を介して堆積システムとデータを交換してもよい。
使用中、CORプロセスは、処理チャンバ20内へのプロセスガスの迅速な吸気を含む。チャンバの圧力は、圧力制御システム36によって、基板温度でのプロセスガスに対する凝縮圧力を超える圧力にて維持される。チャンバの圧力は、約1mトルから約1トルに及んでもよい。プロセスガスの流速は、各化学種に対して約1sccmから約200sccmに及んでもよい。処理チャンバ20は、約30℃から約100℃に及ぶ温度まで加熱されてもよく、例えば、その温度は40℃であってもよい。ガスアセンブリ22及びプロセスガス供給24、26、28、30は、約40℃から約100℃に及ぶ温度まで加熱されてもよく、例えば、その温度は、80℃であってもよい。
いかなる理論にも束縛されることを望むことなく、反応性ガスは、基板12の曝露された表面46上で凝縮されると信じられている。凝縮された反応性ガスは、エッチングプロセスを開始する。凝縮されていない反応性ガス及びエッチング副産物は、ダクト38及び真空ポンプシステム40を介して処理チャンバ20から取り除くことができる。
CORプロセスは、60秒あたり約10nmの熱酸化に対する化学的処理を超過する、基板12の曝露された表面のエッチング速度、180秒あたり約25nmの熱酸化に対する化学的処理を超過する曝露された表面のエッチング速度、及び、180秒あたり約10nmのテトラエチルオルソシリケート(「TEOS」)に対する化学的処理を超過する曝露された表面のエッチング速度を生じ得る。CORプロセスは、約2.5%未満の基板12にわたるエッチングのばらつきも生じてよい。
COR後のプロセスを適用してもよく、さらに、CORプロセスの間に基板上で形成された材料を昇華させる後熱処理(「PHT」)を含んでもよい。PHTステップは、例えば約100℃から約1000℃までの温度範囲にわたって、及び、約1mトルから約1トルのチャンバの圧力範囲にわたって実行されてもよい。PHTプロセスの間に、例えばNを含むスイープガスを使用して昇華を促進してもよい。
図1及び2Aを再度参考にすると、CORプロセスは続き、さらに、走査型電子顕微鏡(「SEM」)を介して評価して、48において、エッチングが停止したかどうかを決定してもよい。図2Bにおいて示されているように、基板の曝露された表面46が、汚染物質14を曝露するように十分にエッチングされると、SEMを介して観測されるエッチング速度は遅くなり、さらに、停止し得る。
48における決定が「YES」であるようにエッチング速度が十分に遅くなるか又は停止した場合に、基板12をノンプラズマ処理システム18から、図3Bにおいて一例が示されているプラズマ処理システム50まで運ぶことができる。
特に、プラズマ処理システム50は処理チャンバ52を含み、処理チャンバ52はその中に基板支持物54を有し、基板支持物54はその上で基板12を支持する。先に記載したものと類似の真空ポンプシステム58を有する排気ポート56は、処理チャンバ52の部分的排出のために処理チャンバ52に連結され、チャンバの温度は約40℃未満で、チャンバの圧力は約150mトル未満であり、異方性処理を可能にしてもよく、さらに、存在する場合いかなるフォトレジスト層の横への引き戻しに抵抗してもよい。少なくとも1つの反応性ガスを含むガス供給60は、反応性ガスを処理チャンバ52に供給する。反応性ガスは、N、H、O、CO及びNHを含むいかなる酸化又は還元ストリップ化学物質(すなわち、酸化還元剤)であってもよい。ガス供給60は、1つ又は複数の不活性ガス(Ar、He、Ne等)及び/又はフッ化ガス(CF、CHF、CH及びCF等)をさらに含んでもよいが、フルオロカーボンガスに限定するべきではない。プラズマ処理システム50は、処理チャンバ52に高周波(「RF」)エネルギーを供給するRF電源等の電源62をさらに含む。RFエネルギーは、処理チャンバ52内及び基板支持物54上の基板12上で反応性ガスをプラズマ64に化学変化させるまで加熱するように操作可能である。一般的に、RFエネルギーを、例えば約200W未満等、最小限にしてフラグメンテーションを減らすことができる。
基板支持物54は電源66に電気的に連結されてもよく、電源66は、基板支持物54、従って基板12を電気的にバイアスするように構成される。基板12を電気的にバイアスすることで、曝露された基板12の表面まで及び存在する場合は基板12内部の特徴物までの実質的に垂直の方向におけるプラズマ64からのイオンの1つ又は複数の種類の加速による異方性エッチング状態が達成される。入射の角度、イオンエネルギー及び種々の他の要因に応じて、曝露された表面46に影響を与えるイオンは、基板12の曝露された表面46にて汚染物質14をエッチングする(図2B)。一般的に、バイアスの力を(約200W未満等)最小限にして、所望されるフォトレジスト層等を含む基板12の鋭敏な領域からのスパッタリングを制限する。
このように、汚染物質が曝露された基板12が、プラズマ処理システム50の基板支持物54上に置かれると、プラズマエッチングプロセスが68(図1)において、反応性ガスを注入する、及び、プラズマ64に化学変化させるまで加熱することによって始まり得る。図2Cにおいて示されているように、プラズマエッチング処理が継続されると、汚染物質14は除去され、さらに、くぼみ70が残る。プラズマエッチングプロセスが完了し、さらに、プラズマ64が消えた後、基板12をプラズマ処理システム50から抽出し、さらに、さらなるノンプラズマエッチング処理のためにノンプラズマ処理システム18内に再度挿入してもよい。ノンプラズマエッチングプロセスは、72において、別のCORプロセス、又は、当業者には既知のいかなる他のエッチング若しくは処理方法を含んでもよい。
すでに述べたように、CORプロセスが十分に遅いか又は停止したと観測される場合、従って、74における決定は「YES」であり、プロセスは、さらなる汚染除去のために基板12をプラズマ処理システム50(図3B)まで戻してもよい。さもなければ、74における決定は「NO」であり、さらに、プロセスは続けられる。図2Dにおいて示されているようにプロセスが完了するように所望の厚さまで基板12がエッチングされた場合、従って、76における決定は「YES」であり、さらに、当該方法は終了し、さもなければ、76における決定は「NO」であり、さらに、プロセスは、72におけるさらなるCOR処理のために戻る。図示されてはいないけれども、所望であれば、別のPTHプロセスが、72におけるCOR処理の完了後に続いてもよい。
次に、図4Aから4Fに戻ると、本発明の別の実施形態による装置80の処理の一連の断面図が示されている。特に、装置80は、エッチングプロセスが完了した後にも残るよう所望される材料から形成された土台82を含む。適した土台の材料は、例えば、Ti、Ta及びAl含有材料等の金属材料、炭化ケイ素、窒化ケイ素及びSiCOH等の絶縁材料、並びに、Hf、Zr及びAl含有誘電体等の高k誘電材料を含んでもよい。装置80は、例えばTEOSベースのSiO、流動性酸化物、低温酸化物、プラズマベース酸化物等の充填用酸化物材料86、及び、そこに吸蔵される少なくとも1つの汚染物質88を含む少なくとも1つの特徴84を含む。
図1を参考にして記載したように、装置80は、ノンプラズマプロセスシステム18(図3A)内に置かれてもよく、さらに、図4Bにおいて示されているように、エッチングプロセスによって充填剤86は、汚染物質88が曝露されるまでエッチングされる。そのような曝露は、エッチング速度の有意な低下又は完全な停止として観測される。
汚染物質88が曝露されると、上記のプロセス等のプラズマエッチングプロセスは、汚染物質88を選択的にエッチングし始めてもよい。図4Cは、プラズマエッチングプロセスが完了した後の、充填剤86内に形成されたくぼみ90を含む装置80を例示している。しかし、特定の汚染物質88の形状は、完全な汚染物質材料の除去を防ぎ、さらに、少なくとも一つの残部92が残っている。特定の実例となる例において、残部92は、充填剤92の曝露された表面の一部の下の、くぼみ90の側壁に沿って、及び、プラズマエッチング処理の間に異方に向けられたイオンが影響を与えない箇所に残る。
当該方法は、図1において提供したように、続けられてもよく、さらに、第2のノンプラズマエッチングプロセスを含む。ここでも、CORプロセスは、そのような酸化物エッチングに適した1つの例証的なプロセスである。このさらなるノンプラズマエッチングプロセスの後、さらに、図4Dにおいて示されているように、残部92は、充填剤86の曝露された表面から接近できる。プラズマエッチングプロセスは、次に、再度ノンプラズマエッチングプロセスに戻る前に、図4Eにおいて示されているように、残部92を除去するために繰り返されてもよい。当該方法は、所望の充填剤86の厚さが達成されるまで、図4Fにおいて示されているように、プラズマエッチング処理とノンプラズマエッチング処理とを交互に続けてもよい。
次に、図5Aから5Cに戻ると、別の装置100の処理が、より詳細に記載されている。特に、図5Aにおいて示されているように、装置100は、上記の土台82(図4A)と類似の土台102を含んでもよい。例えばテトラエチルオルソシリケート(「TEOS」)層等の酸化物ベースの充填剤104は、土台102内の少なくとも1つの特徴106を充填し、さらに、その中で分散した炭素ベースの汚染物質108を含む。
上記のように、当該プロセスは、先に記載したCOR、ノンプラズマ処理等の酸化物エッチングプロセスと共に始まってもよい。しかし、図5Bにおいて、TEOS層104がエッチングされるに従い、分散した汚染物質は、TEOS層104の表面上の炭素質の膜110の中に集められる。従って、先に記載したように、TEOS層104のエッチング速度は有意に低下し、及び/又は、炭素質の膜110の形成と共に停止する。
炭素質の膜110を除去するために、装置100は、先により詳細に記載した様式でプラズマエッチングされてもよい。プラズマエッチング処理によって、さらなるノンプラズマ処理のために炭素質の膜110が除去される。当該方法は、さらなる炭素質の膜110の集中状態を除去するため、さらに、所望のTEOS層104の厚さが達成されるまで(図5C)、交互のプラズマエッチングプロセス及びノンプラズマエッチングプロセスを続ける。
本明細書において特に示されてはいないけれども、単一の処理チャンバを、ノンプラズマエッチングプロセスに対してもプラズマエッチングプロセスに対しても使用してもよい。この方法で、基板は、処理チャンバ間で交換されない。この様式における使用に適した1つのシステムは、Tokyo Electron社(Minato−ku、Tokyo)から商業的に入手可能なTELIUSエッチングシステムを含んでもよく、最低でも、プラズマ発電機、酸化物エッチングプロセスを完了するためのプロセスガス供給、プラズマ汚染除去のための反応性ガス供給、及び、温度制御を有したアクティブ基板支持制御システムを含むであろう。
単一の処理チャンバシステムを用いて基板を処理する1つの方法が、図6の流れ図において示されている。より明確には、基板が単一の処理チャンバ内に置かれた後、基板の表面を、先に記載したように118においてプラズマエッチングしてもよい。最初のプラズマエッチングプロセスは、基板の曝露された表面をきれいにし、或いは、図1において提供されているノンプラズマエッチングプロセスを始めてもよい。プラズマエッチングプロセスの後、処理チャンバは120においてパージされ、さらに、CORノンプラズマエッチングプロセスが122において始まる。CORプロセスを終わらせて、さらに、124において処理チャンバを再度パージした後、126においてPHTプロセスを使用して、基板の曝露された表面上に形成された材料を昇華させてもよい。128における最後の処理チャンバのパージの後、130において、所望の酸化物層の深さが達成されたかどうか決定される。130における決定が「NO」であるように酸化物層が厚すぎて残る場合、従って、さらなる118におけるプラズマエッチングプロセスのためにプロセスは戻る。さもなければ、130における決定は「YES」であり、さらに、プロセスは終了し得る。
本明細書において特に例示されてはいないけれども、本発明の実施形態による酸化物層の処理は、酸化物層を含有するいかなる装置、及び、他の特徴、ビアス(vias)、ゲート及びレジスト層等を含み得る装置で行ってもよいということが理解されるであろう。
本発明は、その1つ又は複数の実施形態の説明によって例示され、さらに、実施形態はかなり詳細に記載されてきたけれども、そのような詳細に付随の特許請求の範囲を制限するようには意図されないか、又は、そのような詳細に付随の特許請求の範囲を限定するいかなる方法においても意図されない。さらなる利点及び修正が当業者には容易に明らかになる。本発明は、従ってそのより広い態様において、特定の詳細、描写される装置及び方法、並びに、示され且つ記載された実例となる例に限定されない。従って、概略の発明の概念の範囲から逸脱することなく、そのような詳細から離れてもよい。

Claims (19)

  1. 半導体装置を形成する方法であって、
    第1の材料、及び、該第1の材料によって吸蔵される第2の材料を含有する基板を提供するステップ、
    第1のノンプラズマエッチングプロセスを使用して前記第1の材料をエッチングするステップであり、前記プロセスは、前記第2の材料をエッチングする速度と比較してより速いエッチング速度で前記第1の材料をエッチングして、前記第1の材料の少なくとも一部の上に重なる前記第2の材料を曝露する、ステップ、
    反応性ガスを含有するプラズマを使用して前記第2の材料をエッチングして、前記第1の材料の少なくとも一部を曝露するステップ、及び、
    第2のノンプラズマエッチングプロセスによって、前記第2の材料をエッチングすることにより曝露された前記第1の材料の少なくとも一部を含む前記第1の材料をエッチングするステップ、
    を含む方法。
  2. 前記第2の材料が、前記第1の材料内で埋め込まれるか又は分散される、請求項1に記載の方法。
  3. 前記第2の材料が、前記第1の材料内で分散され、さらに、前記第1のノンプラズマエッチングの間に表面膜の中で集まる、請求項1に記載の方法。
  4. 前記第1のノンプラズマエッチングプロセス及び/又は前記第2のノンプラズマエッチングプロセスが、化学的酸化物除去プロセスである、請求項1に記載の方法。
  5. 前記反応性ガスが酸化還元剤を含み、該酸化還元剤は、N、H、O、CO及びNH、並びにその組み合わせから成る群から選択される、請求項1に記載の方法。
  6. 前記反応性ガスが、不活性ガス及び/又はフッ化ガスをさらに含む、請求項5に記載の方法。
  7. 前記不活性ガスが、Ar、Ne及びNe、並びにその組み合わせから成る群から選択され、さらに、前記フッ化ガスが、CF、CHF、CH及びCHF、並びにその組み合わせから成る群から選択される、請求項6に記載の方法。
  8. 前記基板を加熱して、前記第1のノンプラズマエッチングプロセス及び/又は前記第2のノンプラズマエッチングプロセス間に前記基板の表面、及び/又は、前記第1の材料の表面上で形成された1つ又は複数の副産物材料を昇華させるステップ、
    をさらに含む、請求項1に記載の方法。
  9. 前記第1の材料の深さを決定するステップ、及び
    前記プラズマを使用して、前記第2の材料を選択的にさらにエッチングする、又は、前記第2のノンプラズマエッチングプロセスによって前記残りの第1の材料及び前記第1の材料を選択的にさらにエッチングするステップ、
    をさらに含む、請求項1に記載の方法。
  10. 半導体装置を形成する方法であって、
    酸化物材料、及び、該酸化物材料によって吸蔵される炭素材料を含有する基板を提供するステップ、
    第1の化学的酸化物除去プロセスを使用して前記酸化物材料をエッチングするステップであり、前記プロセスは、前記炭素材料をエッチングする速度と比較してより速い速度で前記酸化物材料をエッチングして、前記酸化物材料の少なくとも一部の上に重なる前記炭素材料を曝露する、ステップ、
    反応性ガスを含有するプラズマを使用して前記炭素材料をエッチングして、前記酸化物材料の少なくとも一部を曝露するステップ、及び、
    第2の化学的酸化物除去プロセスによって、前記炭素材料をエッチングすることにより曝露された前記酸化物材料の少なくとも一部を含む前記酸化物材料をエッチングするステップ、
    を含む方法。
  11. 前記炭素材料が、前記酸化物材料内で埋め込まれるか又は分散される、請求項10に記載の方法。
  12. 前記炭素材料が、前記酸化物材料内で分散され、さらに、前記第1の化学的酸化物除去プロセスの間に前記酸化物材料の表面上の炭素質の膜の中で集まる、請求項10に記載の方法。
  13. 前記反応性ガスが酸化還元剤を含み、該酸化還元剤は、N、H、O、CO及びNH、並びにその組み合わせから成る群から選択される、請求項10に記載の方法。
  14. 前記反応性ガスが、不活性ガス及び/又はフッ化ガスをさらに含む、請求項13に記載の方法。
  15. 前記不活性ガスが、Ar、Ne及びNe、並びにその組み合わせから成る群から選択され、さらに、前記フッ化ガスが、CF、CHF、CH及びCHF、並びにその組み合わせから成る群から選択される、請求項14に記載の方法。
  16. 前記基板を加熱して、前記第1の化学的酸化物除去プロセス及び/又は前記第2の化学的酸化物除去プロセス間に前記基板の表面、及び/又は、前記酸化物層の表面上で形成された1つ又は複数の副産物材料を昇華させるステップ、
    をさらに含む、請求項10に記載の方法。
  17. 半導体装置を形成する方法であって、
    前記酸化物材料の深さを決定するステップ、及び
    前記プラズマを使用して、前記炭素材料を選択的にさらにエッチングする、又は、前記第2の化学的酸化物除去プロセスによって前記残りの酸化物材料及び前記酸化物材料を選択的にさらにエッチングするステップ、
    をさらに含む、請求項10に記載の方法。
  18. 半導体装置を形成する方法であって、
    (a)酸化物材料、及び、吸蔵された炭素材料を有する基板を提供するステップ、
    (b)ノンプラズマエッチングプロセスを使用して前記酸化物材料をエッチングするステップであり、前記プロセスは、前記炭素材料をエッチングする速度よりも速い速度で前記酸化物材料をエッチングして、前記炭素材料を曝露するように構成される、ステップ、
    (c)反応性ガスを含むプラズマを使用して、前記曝露された炭素材料をエッチングするステップ、
    (d)ノンプラズマエッチングプロセスを使用して、前記酸化物材料をエッチングするステップ、
    (e)前記酸化物層の厚さを決定するステップ、並びに
    (f)(1)前記決定された厚さが所定の前記酸化物材料の厚さと等しい場合にエッチングを終わらせるか、又は、(2)前記決定された厚さがほぼ前記所定の厚さになるまで(c)、(d)及び(e)を繰り返すステップ、
    を含む方法。
  19. 前記炭素材料が前記酸化物材料内で分散され、さらに、前記ノンプラズマエッチングプロセス間に炭素質の膜の中で集まる、請求項18に記載の方法。
JP2014533585A 2011-09-30 2012-09-14 組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス Active JP5960270B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/250,960 US8664012B2 (en) 2011-09-30 2011-09-30 Combined silicon oxide etch and contamination removal process
US13/250,960 2011-09-30
PCT/US2012/055288 WO2013048767A1 (en) 2011-09-30 2012-09-14 Combined silicon oxide etch and contamination removal process

Publications (2)

Publication Number Publication Date
JP2014528181A true JP2014528181A (ja) 2014-10-23
JP5960270B2 JP5960270B2 (ja) 2016-08-02

Family

ID=47992928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014533585A Active JP5960270B2 (ja) 2011-09-30 2012-09-14 組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス

Country Status (5)

Country Link
US (1) US8664012B2 (ja)
JP (1) JP5960270B2 (ja)
KR (1) KR101643830B1 (ja)
TW (1) TWI502640B (ja)
WO (1) WO2013048767A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016154209A (ja) * 2015-02-16 2016-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10622205B2 (en) 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Families Citing this family (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6555972B2 (ja) * 2015-08-05 2019-08-07 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6726610B2 (ja) * 2016-12-13 2020-07-22 東京エレクトロン株式会社 エッチング方法及び基板処理システム
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10714319B2 (en) 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP7137959B2 (ja) * 2018-04-20 2022-09-15 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2020123672A (ja) * 2019-01-30 2020-08-13 東京エレクトロン株式会社 基板処理装置の制御方法、基板処理装置及びクラスタシステム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007059735A (ja) * 2005-08-26 2007-03-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2007227691A (ja) * 2006-02-24 2007-09-06 Sony Corp 基板処理方法および基板処理装置
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20110124144A1 (en) * 2009-03-17 2011-05-26 Roth & Rau Ag Substrate processing system and substrate processing method
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087267A (en) 1986-03-04 2000-07-11 Motorola, Inc. Process for forming an integrated circuit
JPH09190979A (ja) 1996-01-10 1997-07-22 Nec Corp 選択シリコンエピタキシャル成長方法及び成長装置
US6423646B1 (en) 1998-06-04 2002-07-23 Vanguard International Semiconductor Corporation Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
US6214736B1 (en) 1998-10-15 2001-04-10 Texas Instruments Incorporated Silicon processing method
TW460617B (en) * 1998-11-06 2001-10-21 United Microelectronics Corp Method for removing carbon contamination on surface of semiconductor substrate
EP1266883A4 (en) * 2000-03-03 2005-02-23 Teijin Ltd PROCESS FOR THE PRODUCTION OF AN AROMATIC CARBONATE
US6716766B2 (en) 2002-08-22 2004-04-06 Micron Technology, Inc. Process variation resistant self aligned contact etch
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US7625603B2 (en) 2003-11-14 2009-12-01 Robert Bosch Gmbh Crack and residue free conformal deposited silicon oxide with predictable and uniform etching characteristics
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4343875B2 (ja) 2005-06-08 2009-10-14 Tdk株式会社 エッチング量計測装置、エッチング装置及びエッチング量計測方法
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US20080009139A1 (en) 2006-07-05 2008-01-10 Thomas Hecht Structure in a substrate for the manufacturing of a semiconductor device and process for manufacturing of a semiconductor device
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
US20090233447A1 (en) 2008-03-11 2009-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Control wafer reclamation process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007059735A (ja) * 2005-08-26 2007-03-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP2007227691A (ja) * 2006-02-24 2007-09-06 Sony Corp 基板処理方法および基板処理装置
US20110124144A1 (en) * 2009-03-17 2011-05-26 Roth & Rau Ag Substrate processing system and substrate processing method
US20110053380A1 (en) * 2009-08-31 2011-03-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016154209A (ja) * 2015-02-16 2016-08-25 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10622205B2 (en) 2015-02-16 2020-04-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
KR101643830B1 (ko) 2016-08-10
JP5960270B2 (ja) 2016-08-02
TWI502640B (zh) 2015-10-01
KR20140069323A (ko) 2014-06-09
TW201318057A (zh) 2013-05-01
US20130084654A1 (en) 2013-04-04
WO2013048767A1 (en) 2013-04-04
US8664012B2 (en) 2014-03-04

Similar Documents

Publication Publication Date Title
JP5960270B2 (ja) 組み合わされたシリコン酸化膜エッチング及び汚染物除去プロセス
JP7008918B2 (ja) 選択的窒化シリコンエッチングの方法
JP5728221B2 (ja) 基板処理方法及び記憶媒体
TW201836010A (zh) 使用六氟化硫之優先氮化矽蝕刻方法
TWI680499B (zh) 側壁影像轉移方法
JP4968861B2 (ja) 基板のエッチング方法及びシステム
JP5701654B2 (ja) 基板処理方法
KR100716689B1 (ko) 높은 k 값의 게이트 유전체를 갖는 반도체 장치를제조하는 선택적 에칭 공정
US20170345673A1 (en) Method of selective silicon oxide etching
JP2009094307A (ja) エッチング方法及び記録媒体
TWI576907B (zh) Substrate handling method
JP5074009B2 (ja) 高アスペクト比の開口を有するシリコン構造体用エッチングマスクの製造方法及びその装置並びにその製造プログラム
JP5177997B2 (ja) 高アスペクト比の開口を有するシリコン構造体、その製造方法、その製造装置、及びその製造プログラム
TWI528450B (zh) Substrate processing methods and memory media
JP5443937B2 (ja) シリコン構造体の製造方法及びその製造装置並びにその製造プログラム
JP7257883B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI841579B (zh) 電漿處理方法及電漿處理裝置
JP2006156992A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140328

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150319

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150521

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160428

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20160512

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160531

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160622

R150 Certificate of patent or registration of utility model

Ref document number: 5960270

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250