JP2014521213A - 静電チャックアセンブリ - Google Patents

静電チャックアセンブリ Download PDF

Info

Publication number
JP2014521213A
JP2014521213A JP2014519127A JP2014519127A JP2014521213A JP 2014521213 A JP2014521213 A JP 2014521213A JP 2014519127 A JP2014519127 A JP 2014519127A JP 2014519127 A JP2014519127 A JP 2014519127A JP 2014521213 A JP2014521213 A JP 2014521213A
Authority
JP
Japan
Prior art keywords
pack
base
electrostatic chuck
support
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014519127A
Other languages
English (en)
Other versions
JP2014521213A5 (ja
JP6223333B2 (ja
Inventor
バーナード エル. ホワン,
ホセ アントニオ マリン,
ソン ティー. グェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014521213A publication Critical patent/JP2014521213A/ja
Publication of JP2014521213A5 publication Critical patent/JP2014521213A5/ja
Application granted granted Critical
Publication of JP6223333B2 publication Critical patent/JP6223333B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Abstract

基板処理のための静電チャックの実施形態が、ここに提供される。いくつかの実施形態では、静電チャックは、基板を支持するためのパックであって、誘電材料から形成され、パック上に配置されるときに基板を静電気的に保持するためにパックの支持表面近傍のパック内に配置されたチャッキング電極を有するパックと、パックを支持するために基部から延在するリングを有する基部と、パックと基部との間に間隙が形成されるように基部の上でパックを支持するために基部とパックとの間に配置されたスペーサであって、パックの周辺エッジ近傍でパックを支持するスペーサとを含んでもよい。
【選択図】 図2

Description

本発明の実施形態は、一般に半導体処理装置に関する。
従来の静電チャック構造は通常、冷却板または他の静電チャック構成要素の上に取り付けられたセラミックディスクまたはパックからなる。しかし、本発明者らは、セラミックパックをそのように取り付けることによって、パックから冷却板または他の静電チャック構成要素へ伝達される熱の量は、パックが上昇可能である温度を制限し、したがってパック上に配置された基板が加熱可能である温度を制限し、それによって処理のために利用できるプロセスパラメータの利用可能な窓を制限することに気付いた。
したがって、本発明者らは、改善された静電チャックアセンブリを提供した。
基板処理のための静電チャックの実施形態が、ここに提供される。いくつかの実施形態では、静電チャックは、基板を支持するためのパックであって、誘電材料から形成され、パック上に配置されたときに基板を静電気的に保持するためにパックの支持表面近傍のパック内に配置されたチャッキング電極を有するパックと、基部、およびパックを支持するために基部から延在するリングと、パックと基部との間に間隙が形成されるように基部の上でパックを支持するために基部とパックとの間に配置されたスペーサとを含んでもよい。
いくつかの実施形態では、静電チャックは、基板を支持するためのパックであって、誘電材料から形成され、パック上に配置されたときに基板を静電気的に保持するためにパックの支持表面近傍のパック内に配置されたチャッキング電極を有するパックと、基部と、基部の上に配置され、基部の上でかつリング内に空間を形成するために基部から延在するリングと、パックと基部との間に空間を含む間隙が形成されるように基部の上でパックを支持するためにリングとパックとの間に配置されたスペーサであって、パックの周辺エッジ近傍でパックを支持するスペーサとを含んでもよい。
本発明の他のさらなる実施形態について、以下に説明する。
上記で簡単に要約され、以下でより詳細に論じられる本発明の実施形態は、添付の図面に示される本発明の例示的な実施形態を参照することによって理解されうる。しかし、本発明は他の等しく有効な実施形態を許容してもよいため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なされるべきではないことに留意されたい。
本発明のいくつかの実施形態による静電チャックとの使用に適したプロセスチャンバを示す。 本発明の少なくともいくつかの実施形態による静電チャックの断面図を示す。 本発明のいくつかの実施形態による静電チャックとの使用のための基部アセンブリの断面図を示す。
理解を促進するために、可能な場合、複数の図に共通の同一の要素を示すのに同一の参照番号が使用された。これらの図は、縮尺に合わせて描かれておらず、明確にするために簡略化されていてもよい。一つの実施形態の要素および特徴は、他の実施形態と不適合でない限り、または逆の内容が明示されない限り、さらなる記述がなくても、他の実施形態に有益に組み込みこまれてもよい。
基板処理のための静電チャックの実施形態が、ここに提供される。本発明の静電チャックの実施形態は、有利には、プロセスチャンバの内部温度を上昇させる必要なしに、基板支持構成要素(たとえば、パック)およびその上に配置された基板をより高い温度まで加熱することが可能であってもよい。本発明の静電チャックが窒化プロセスを行うように構成されたプロセスチャンバ内で利用される実施形態では、プロセスチャンバの内部温度を上昇させることなくパックをより高い温度で維持することによって、本発明者らは、アンモニア(NH)含有プラズマが従来使用される窒素(N)プラズマの代わりに利用され、それによってより高密度のプラズマを提供し、したがってより高密度の窒化フィルムを提供することができることに気付いた。本発明の静電チャックは、有利には、従来の基部アセンブリと比較すると、より少ない部品を備え、製造するのにより安価で、かつより維持しやすい基部アセンブリをさらに提供する。
図1は、本発明のいくつかの実施形態による本発明の静電チャックとの使用に適したプロセスチャンバ100を示す。プロセスチャンバ100は、単独で利用してもよく、または、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なCENTURA(登録商標)DPN Gate Stackという一体型の半導体ウエハ処理システムなど、一体型の半導体基板処理システムもしくはクラスタツールの処理モジュールとして利用してもよい。プロセスチャンバ100は、半導体処理に適した任意のタイプのプロセスチャンバであってもよい。本発明との使用に適した例示的なチャンバは、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能な分離プラズマ窒化(DPN)のために構成された任意のチャンバである。
プロセスチャンバ100は通常、壁130および誘電体リッド120(ともに処理体積を画定する)を有するチャンバ本体104と、処理体積内に配置された基板支持体116と、コントローラ140とを含む。いくつかの実施形態では、壁130は導電性であってもよい。そのような実施形態では、壁130は、電気接地134に結合されてもよい。
いくつかの実施形態では、誘電体リッド120を実質的に平坦であってもよい。プロセスチャンバ100の他の修正形態は、たとえばドーム形状のリッドまたは他の形状など、他のタイプのリッドを有してもよい。いくつかの実施形態では、1つまたは複数のRFコイル(図示された2つのRFコイル110、112)は、誘電体リッド120近傍に同軸状に配置され、チャンバ本体104内へRF電力を誘導的に結合して、たとえばガスパネル138を介してプロセスチャンバ100へ提供される1つまたは複数のプロセスガスからプラズマ155を形成するように構成されてもよい。相対的な位置、各コイルの直径の比、および/または各コイル内の巻き数は、たとえば形成されているプラズマのプロファイルまたは密度を制御するためにそれぞれ望みに応じて調整することができる。
1つまたは複数のRF電源(図示された1つのRF電源108)が、整合ネットワーク115およびRF供給構造106を介してRFコイル110、112にRF電力を提供する。RF電源108は、例示的に、50kHzから13.56MHzまでの範囲内の調整可能な周波数で、最大4000Wまで生成する能力があってもよいが、他の周波数および電力が特定の適用分野にとって望まれるように提供されてもよい。
いくつかの実施形態では、整合ネットワーク115は、各アンテナコイルに提供されるRF電力の量を制御する(それによって、内側および外側コイルに対応するゾーン内のプラズマ特性の制御を促進する)ために、電力分割器を含んでもよい。2重コイルアンテナ構成は、有利には、各ゾーン内の窒素適用量の改善された制御を提供してもよい。いくつかの実施形態では、電力分割器は、整合ネットワーク115の一部ではなく、別個の構成要素であってもよい。
いくつかの実施形態では、RF電流がRFコイルの中心軸に対して幾何学的な対称の構成で各コイルに結合されるように、RF供給構造106は、RF電流をRFコイルに対称的な方法で提供するように構成される。
いくつかの実施形態では、ヒータ要素121は、プロセスチャンバ100の内部の加熱を促進するために誘電体リッド120の上に配置されてもよい。ヒータ要素121は、誘電体リッド120と第1のRFコイル110および第2のRFコイル112との間に配置されてもよい。いくつかの実施形態では、ヒータ要素121は、抵抗性加熱要素を含んでもよく、ヒータ要素121の温度を制御するのに十分なエネルギーを提供するように構成されたAC電源などの電源123に結合されてもよい。いくつかの実施形態では、ヒータ要素121はオープンブレーク(open break)ヒータであってもよい。いくつかの実施形態では、ヒータ要素121は、環状要素などのノーブレーク(no break)ヒータを備えてもよく、それによってプロセスチャンバ100内で均一のプラズマ形成を促進する。
動作中に、基板114(半導体ウエハまたはプラズマ処理に適した他の基板など)が基板支持体116上に置かれてもよく、チャンバ本体104内にガス状混合物150を形成するために、プロセスガスがガスパネル138から入り口ポート126を通って供給されてもよい。プラズマ源108から第1のRFコイル110および第2のRFコイル112、ならびに任意選択で1つまたは複数の電極(図示せず)に電力を印加することによって、ガス状混合物150はプラズマ155内で発火されてもよい。いくつかの実施形態では、バイアス源122からの電力が基板支持体116に提供されてもよい。チャンバ本体104の内部の圧力は、スロットルバルブ127および真空ポンプ136を使用して制御されてもよい。チャンバ壁130の温度は、壁130を通る液体を含んだ導管(図示せず)を使用して制御されてもよい。
基板114の温度は、基板支持体116の温度を安定化させることによって制御されてもよい。いくつかの実施形態では、たとえばヘリウムなどの熱制御ガスが、ガス源148から基板114の裏側と基板支持表面内に配置された溝(図示せず)との間に画定されたチャネルへガス導管149を介して提供されてもよい。熱制御ガスは、基板支持体116と基板114との間の熱伝達を促進するために使用される。処理中に、基板支持体116は、抵抗性ヒータ(図示せず)によって定常状態の温度まで加熱されてもよく、ヘリウムガスが、基板114の均一の加熱を促進してもよい。そのような熱の制御を使用して、基板114は、摂氏約0度から摂氏約550度の温度で維持されてもよい。
コントローラ140は、中央処理装置(CPU)144と、メモリ142と、CPU144のための支持回路146とを備え、プロセスチャンバ100の構成要素の制御を促進する。コントローラ140は、様々なチャンバおよびサブプロセッサを制御するための産業用の設定で使用されることができる汎用コンピュータプロセッサの任意の形態の1つであってもよい。CPU144のメモリまたはコンピュータ可読媒体142は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または任意の他の形態のローカルもしくは遠隔デジタルストレージなど、容易に入手可能なメモリの1つまたは複数であってもよい。支持回路146は、従来の方法でプロセッサを支持するためにCPU144に結合される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、およびサブシステムなどを含む。プロセスチャンバ100の構成要素またはプロセスチャンバ100内で行われるプロセスを制御するためのアルゴリズムは、上で述べられた方法でプロセスチャンバ100の動作を制御するために実行または起動されるソフトウェアルーチンとして、メモリ142内に記憶されてもよい。ソフトウェアルーチンはまた、CPU144によって制御されているハードウェアから離れて位置づけられる第2のCPU(図示せず)によって記憶および/または実行されてもよい。
基板支持体116は通常、チャンバ本体104の壁(たとえば、底部125)近傍に配置され、静電チャック117を支持するように構成された基部119を備える。いくつかの実施形態では、基板支持体116は、電源に結合するための電極118を備えてもよい。たとえば、いくつかの実施形態では、電極118は、基板114を基板支持体116に静電気的に保持するときに電極118にチャッキング電圧を提供するために、DC電源102に結合されてもよい。その代わりに、または組合せて、電極118は、整合ネットワーク124を通じてRFバイアス電源122に結合されてもよい。いくつかの実施形態では、DC電源102およびRFバイアス電源122は、基板支持体116内に配置された異なる電極に結合されてもよい。
図2を参照すると、いくつかの実施形態では、静電チャック117は通常、パック202の底面244と支持体214の上面246との間に間隙242を提供するために、パック202と、支持体214とパック202との間に配置されたスペーサ240とを支持するように構成された支持体214を備えてもよい。
支持体214は通常、基部252を支持する中空シャフトまたは管255を備えてもよい。リング254は、基部252の上に配置されてもよく、基部252上に延在し基部252上かつリング254内に空間250を形成する。支持体214は、任意の適した材料、たとえばアルミニウム、ステンレス鋼などの金属から製造されてもよい。いくつかの実施形態では、リング254の上部部分は、パック202と支持体214との間の所望の間隙242を維持しながら、パック202の支持体214への結合を促進するために、輪郭加工またはノッチング(たとえば、ノッチ261、256)を備えてもよい。別個の構成要素(すなわち、管255、基部252、およびリング254)として説明されるが、これらの構成要素のうちの任意の2つ以上は、単一の材料片から製造されてもよく、それによってより少ない構成要素または単一設計を有する支持体214を提供する。いくつかの実施形態では、静電チャック117への基板114の配送及び静電チャック117からの基板114の除去を促進するために、支持体214は、リフトピン(後述)を支持体214およびパック202に貫通させるための1つまたは複数のリフトピン孔(図示された1つのリフトピン孔230)を含んでもよい。
いくつかの実施形態では、静電チャック117の温度についての制御の維持を促進するために、支持体214は、支持体214を通る冷却剤の流れを可能にするために支持体214内に配置された1つまたは複数の導管248を含んでもよい。導管248は、前述の温度制御を提供するのに適した任意の方法で構成されてもよい。たとえば、いくつかの実施形態では、導管248は、図2に示されるように、基部252内に配置されてもよい。その代わりに、または組合せとして、いくつかの実施形態では、リング254の温度制御を促進するために、これらの導管は、リング254内に配置されてもよく、またはリング254を貫通して延在してもよい。
いくつかの実施形態では、パック202の支持体214への固定を促進するために、支持体214は、締め具219が支持体214を貫通して配置されることを可能にするために1つまたは複数の貫通孔(図示された2つの貫通孔218)を含んでもよい。いくつかの実施形態では、支持体214は、締め具219が締められたときに、締め具219の部分がほとんどまたはまったく支持体214の表面を越えて延在することがないように、締め具219を収容するように構成された空洞220を含んでもよい。
締め具219は、静電チャック内での使用に適した任意のタイプの締め具、たとえばボルトであってもよい。いくつかの実施形態では、締め具は、ツールと相互作用するためのヘッド236を有する第1の端部237と、ねじ部分217を有する第2の端部239とを備えてもよい。いくつかの実施形態では、締め具219は、たとえば図2に示されるように、支持体214の構成要素のいずれも損傷することなく、パック202を支持体214に(たとえば、後述のように、支持体214の上に配置されたクランプリング216を介して)固定するのに十分な力を提供することを促進するために装着されるばねであってもよい。
いくつかの実施形態では、ねじ部分217は、クランプリング216内に形成されたねじ貫通孔221と相互作用して、パック202を支持体214に固定するように構成されてもよい。そのような実施形態では、締め具219は、クランプリング216および/またはパック202間の熱伝達を最小にするために、クランプリング216と支持体214との間に間隙259を維持するように構成された肩部224を含んでもよく、それによって、処理中にプロセスチャンバの内部温度を上昇させることなく、パック202はより効率的に加熱され、より高い温度を維持することが可能になる。
クランプリング216は、処理中にプロセスチャンバ(たとえば、上記のプロセスチャンバ100)内の環境のための劣化に耐えながら、パック202を固定するのに適した任意の材料から製造してもよい。たとえば、いくつかの実施形態では、クランプリング216は、チタン(Ti)から製造してもよい。本発明者らは、チタンのクランプリング216がプロセスチャンバ100内の処理からの劣化に耐え、処理に起因する基板および/またはプロセスチャンバ構成要素の金属汚染を実際に低減または除去することを発見した。
いくつかの実施形態では、基板114がパック202に十分にチャッキングされているかどうかの監視を促進するために、支持体214は、パック202内に形成された貫通孔225と相互作用して基板114の裏面234へガスを流すことを可能にする導管222を備えてもよい。いくつかの実施形態では、流れプラグ227は、導管222に結合されてもよく、貫通孔225と相互作用して導管222と貫通孔225との確実な結合を促進するように構成されてもよい。いくつかの実施形態では、気密嵌合を提供するために、シール223が、導管223及び流れプラグ227の一部分と、パック225との間に配置されてもよい。シール223は、任意の適した材料から製造してもよい。たとえば、いくつかの実施形態では、シール223は、ニッケルクロム合金などの合金を含む金属のイーシール(e−seal)であってもよい。1つの導管223のみが示されるが、支持体214は、たとえば2つ以上などの任意の数の導管223を含んでもよい。しかし、本発明者らは、1つまたは複数より多い(たとえば、10個以上)導管222を利用する従来の静電チャックと比較すると、1つの導管223を提供することによって、静電チャック117のコストおよび複雑さを低減させながら、基板114のチャッキングの十分な監視が行われてもよいことに気付いた。
パック202は通常、処理中に基板114を支持および保持するための上面203と、支持体214に面する反対側の底面244とを有する、円筒形の板状部材である。パック202は、上記のように、クランプリング216と相互作用してパック202の支持体214への固定を促進するために上面203の周辺エッジの周りに配置された円周ノッチ257を有してもよい。パック202は、たとえば高い誘電率を有する材料など、任意の適したプロセス適合型の、電気絶縁材料から製造してもよい。いくつかの実施形態では、パック202は、窒化アルミニウム(AIN)などのセラミックから製造してもよい。パック202は、内部に埋め込まれた導電メッシュなどのチャッキング電極(たとえば、電極118)を含む。基板114とパック202との間に静電界を生成しパック202の上面203上に基板114を保持するために、電極118は、DC電圧(たとえば、DC電源102から)でエネルギーが与えられてもよい。いくつかの実施形態では、電極118をRFバイアス電極としても使用してもよく、バイアス電源122などのRFエネルギー源に結合してもよい。いくつかの実施形態では、パック202は、パック202内に埋め込まれたヒータ204をさらに備えてもよい。いくつかの実施形態では、ヒータ204を、複数の独立して制御可能な加熱ゾーン内に配列してもよい。ヒータ204が存在するときには、ヒータ204は、1つまたは複数のヒータ要素(たとえば、抵抗性加熱要素)を備えてもよく、パック202に、そして最終的には基板114に熱を提供し、基板114の温度の制御を(他の構成要素と組み合わせて)促進するために利用されてもよい。
いくつかの実施形態では、パック202は、基板114の取扱いおよび/または処理を促進するために、1つまたは複数の特徴を含んでもよい。たとえば、いくつかの実施形態では、1つまたは複数のリフトピン孔232(1つのリフトピン孔232を図示)が、パック202からの基板114の上昇または下降を促進するため、リフトピンがパック202を貫通することを可能にするため、パック202を貫通するように提供されてもよい。たとえばパック202の周りに互いから約120度で配置された3つのリフトピン孔など、任意の適した数のリフトピン孔が利用されてもよい。
スペーサ240は、通常はリングとして成型され、パック202の底面244と支持体214の上面246との間に間隙242を提供するように、支持体214の上でパック202を支持する。いくつかの実施形態では、スペーサ240は、パック202の周辺エッジ260の周囲でパック202を支持する。いくつかの実施形態では、スペーサ240は、スペーサ240が支持体214上に確実に位置することを可能にするため、リング254の表面内に形成されたノッチ256内に配置されてもよい。
パック202の底面244と支持体214の上面246との間に間隙242を提供することによって、本発明者らは、パック202から支持体214への熱伝達が低減されてもよく、それによって、プロセスチャンバの内部温度を上昇させることなく、パック202をより高い温度で維持することが可能であることに気付いた。したがって、間隙242は、パック202から支持体214へ伝達される所望の量の熱を制限するのに適した任意の寸法をしてもよい。たとえば、いくつかの実施形態では、間隙242は、約1.25〜約1.50cmの高さを有してもよい。
本発明者らはまた、窒化プロセスを行うように構成されたプロセスチャンバ内で静電チャック117が利用される実施形態で、プロセスチャンバの内部温度を上昇させることなくパック202をより高い温度で(たとえば、摂氏約350度を超えて、またはいくつかの実施形態では摂氏約450度を超えて)維持することによって、従来使用される窒素(N)プラズマの代わりにアンモニア(NH)含有プラズマが利用されてもよく、それによってより高密度のプラズマを提供し、したがって有利には、より高密度の窒化フィルムが得られることに気付いた。
スペーサ240は、たとえばチタン(Ti)など、パック202を支持するのに適した任意のプロセス適合型材料から製造してもよい。チタンのスペーサ240を提供することによって、本発明者らは、金属汚染が処理中に低減または除去されることに気付いた。スペーサ240は、パック202を支持するのに適した任意の寸法を有してもよい。たとえば、いくつかの実施形態では、スペーサ240は、約0.10〜約0.11mmの幅を有するパック支持表面を有してもよい。本発明者らは、従来のスペーサに満たない幅のパック支持表面を有するスペーサ240を提供することによって、パック202と支持体214との間の熱伝達がさらに低減されることに気付いた。
いくつかの実施形態では、1つまたは複数の資源導管(図示された3つの資源導管206、208、210)を収納し、パック202への様々な処理資源の配送を促進するために、導管262が管255内に配置されてもよい。いくつかの実施形態では、導管262は、間隙278が導管262と管225との間に維持されるように十分な大きさにされてもよく、パック202から離れた間隙242の通気を促進し、パック202の底面244へのプラズマ露出を低減する。いくつかの実施形態では、ガス(たとえば、ヘリウム)を提供して基板114の裏面234の冷却を促進するために、第1の資源導管(たとえば、資源導管206)が導管262内に配置されてもよく、パック202内に形成された貫通孔264に結合されてもよい。いくつかの実施形態では、パック202内に熱電対を位置決めしてパック202の温度を監視するために、第2の資源導管(たとえば、資源導管208)が、導管262内に配置されてもよく、パック202内に形成された凹み266に結合されてもよい。いくつかの実施形態では、電源(たとえば、上記のDC電源102またはバイアス電源122)から電極118への結合を提供するために、第3の資源導管(たとえば、資源導管210)が導管262内に配置されてもよく、パック202内に形成された凹み268に結合されてもよい。いくつかの実施形態では、ヒータ204に電力を結合するために、第3の資源導管も利用されてもよい。その代わりに、異なる資源導管(たとえば、第4の資源導管)が使用されてもよい。
いくつかの実施形態では、1つまたは複数の処理資源供給(図示せず)を導管262内に配置された導管(すなわち、資源導管206、208、210)へ結合することを促進するために、絶縁体ブロック212が、導管262の第1の端部280近傍で、かつ、導管262内の少なくとも一部分に配置されてもよい。絶縁体ブロックを、たとえばセラミックなどの任意の適した電気絶縁材料から製造してもよい。いくつかの実施形態では、絶縁体ブロック212は、リング213と相互作用して絶縁体ブロック212の導管262への結合を促進するように構成されたフランジ270を備えてもよい。絶縁体ブロック212は、フランジから導管262内に配置された絶縁体ブロック212の第1の端部282までの長さに適した任意の寸法を有してもよい。いくつかの実施形態では、この長さは、約2.0〜約2.1cmであってもよい。本発明者らは、従来使用される絶縁体ブロックより大きい寸法(すなわち、前述の長さ)を有する絶縁体ブロック212を提供することによって、絶縁体ブロック212が導管262から絶縁体ブロック212への熱伝達の増加された量を提供することができ、したがって導管262の増加された冷却、およびプロセス資源供給と資源導管(資源導管206、208、210)との間の改善された接続性を提供することに気付いた。
図3を参照すると、基部119は通常、外壁302と、リフトピンガイド304と、支持体214(上記)の管255と相互作用するように構成された凹み309とを備える。基部119を、任意の材料、たとえばステンレス鋼、アルミニウムなどの金属から製造してもよい。
外壁302は、プロセスチャンバ(たとえば、上記のプロセスチャンバ100)の壁(たとえば、底部125)に結合されてもよく、静電チャック117(上記)を支持するように構成される。いくつかの実施形態では、外壁302の上部エッジ303が、基部119を支持体214(上記)に結合するように構成される。外壁302は、静電チャック117への支持を提供するのに適した任意の厚さを含むことができる。たとえば、いくつかの実施形態では、外壁は、約0.86〜約0.96cmの厚さを有してもよい。本発明者らは、そのような厚さを有する外壁302を提供することによって、基部アセンブリの従来使用される他の構成要素(たとえば、ライナー、追加のスカートなど)が基部119から除かれてもよく、それによってより少ない部品を有し、ゆえに製造することがより高価ではない基部119のアセンブリを提供することに気付いた。
リフトピンガイド304は、静電チャックへの基板(たとえば、上記の静電チャック117への基板114)の除去および配送中にリフトピン308への案内を提供する。いくつかの実施形態では、使用中にリフトピン308に安定性を提供するために、1つまたは複数のカラー(図示されたリフトピン308ごとに1つのカラー306)がリフトピンガイド304に結合されてもよい。リフトピンガイド304に結合されたカラー306を提供することによって、本発明者らは、リフトピンを安定化させるための機構(たとえば、カラー)を基板支持体に提供する従来の基部アセンブリと比較すると、リフトピン308に安定性を提供するために必要な部品は少なくなることに気付いた。
凹み309は、壁310および底部311を含み、支持体214(上記)の管255と相互作用する。底部311は、1つまたは複数の貫通孔(図示された3つの貫通孔312)を含み、各々が、貫通孔312のそれぞれを絶縁体ブロック212のそれぞれの導管(すなわち、資源導管206、208、210)に結合するように構成されたカップリング313を有する。
いくつかの実施形態では、取り外し可能なスカート322が、底部125に取り外し可能に結合され、リフトピン板316およびシャフト318を取り囲む。取り外し可能なスカート322を提供することは、保守および/または補修のためにリフトピン板316およびシャフト318にアクセスすることを可能にする。アクチュエータ320がシャフト318に結合され、シャフト318、リフトピン板316、およびリフトピン308の垂直運動を制御する。アクチュエータ320は、そのような運動を提供することが可能な任意のタイプのアクチュエータであってもよい。いくつかの実施形態では、アクチュエータ320は、モータ付きのアクチュエータである。運動を制御するためにモータ付きのアクチュエータを提供することによって、本発明者らは、従来使用される(たとえば、空気圧式)アクチュエータとは対照的に、シャフト318、リフトピン板316、およびリフトピン308の改善された制御性に気付いた。
したがって、静電チャックの実施形態が、ここに提供される。本発明の静電チャックの実施形態は、有利には、プロセスチャンバの内部温度を上昇させる必要なしに、基板支持構成要素(たとえば、パック)およびその上に配置された基板をより高い温度まで加熱させることが可能である。窒化プロセスを実行するように構成されたプロセスチャンバ内で本発明の静電チャックが利用される実施形態では、プロセスチャンバの内部温度を上昇させることなくパックをより高い温度で維持することによって、本発明者らは、従来使用される窒素(N)プラズマの代わりにアンモニア(NH)含有プラズマが利用されてもよく、それによってより高密度のプラズマを提供し、したがってより高密度の窒化フィルムを提供することに気付いた。本発明の静電チャックは、有利には、従来使用される基部アセンブリと比較すると、より少ない部品を備え、製造することがより高価でなく、かつ維持することがより容易である基部アセンブリをさらに提供する。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案してもよい。

Claims (15)

  1. 基板を支持するためのパックであって、誘電材料から形成され、パック上に配置されるときに前記基板を静電気的に保持するためにパックの支持表面近傍のパック内に配置されたチャッキング電極を有するパックと、
    前記パックを支持するために基部から延在するリングを有する基部と、
    前記パックと前記基部との間に間隙が形成されるように前記基部の上に前記パックを支持するために前記基部と前記パックとの間に配置されたスペーサであって、前記パックの周辺エッジ近傍で前記パックを支持するスペーサと、
    を備える静電チャック。
  2. 前記スペーサがチタンから製造される、請求項1に記載の静電チャック。
  3. 前記スペーサが、約0.10〜約0.11mmの幅を有するパック支持表面を有する、請求項1に記載の静電チャック。
  4. 前記間隙が約1.25〜約1.50cmの高さを有する、請求項1に記載の静電チャック。
  5. 前記パック内に埋め込まれたヒータ
    をさらに備える、請求項1ないし4のいずれか一項に記載の静電チャック。
  6. 前記ヒータが、複数の独立して制御可能な加熱ゾーンを備える、請求項5に記載の静電チャック。
  7. 前記パックの外周エッジの上に配置され、前記パックを前記基部に固定するために前記基部の前記リングに結合されたクランプリング
    をさらに備える、請求項1ないし4のいずれか一項に記載の静電チャック。
  8. 前記クランプリングがチタンから製造される、請求項7に記載の静電チャック。
  9. 前記クランプリングを前記基部に結合するための締め具をさらに備え、前記締め具は、前記クランプリングが前記基部から離間された状態を維持する、
    請求項7に記載の静電チャック。
  10. 前記基部および前記リングが、アルミニウムまたはステンレス鋼から製造される、請求項1ないし4のいずれか一項に記載の静電チャック。
  11. 前記基部および前記リングが、単一のアルミニウムまたはステンレス鋼片から製造される、請求項1ないし4のいずれか一項に記載の静電チャック。
  12. 前記基部を支持するために前記基部に結合された管と、
    前記パックへ処理資源を送るために前記管内に配置された導管と
    をさらに備える、請求項1ないし4のいずれか一項に記載の静電チャック。
  13. 前記基部を通る冷却剤の流れを可能にするために前記基部内に配置された1つまたは複数の導管
    をさらに備える、請求項1ないし4のいずれか一項に記載の静電チャック。
  14. 前記スペーサが、前記パックと前記リングとの間に配置される、請求項1ないし4のいずれか一項に記載の静電チャック。
  15. 前記パックが前記リングに直接接触しないように、前記スペーサが、前記パックと前記リングとの間に配置される、請求項1ないし4のいずれか一項に記載の静電チャック。
JP2014519127A 2011-07-01 2012-06-29 静電チャックアセンブリ Active JP6223333B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161504000P 2011-07-01 2011-07-01
US61/504,000 2011-07-01
US13/536,098 US9117867B2 (en) 2011-07-01 2012-06-28 Electrostatic chuck assembly
US13/536,098 2012-06-28
PCT/US2012/044838 WO2013006407A1 (en) 2011-07-01 2012-06-29 Electrostatic chuck assembly

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2017149233A Division JP2017216475A (ja) 2011-07-01 2017-08-01 静電チャックアセンブリ

Publications (3)

Publication Number Publication Date
JP2014521213A true JP2014521213A (ja) 2014-08-25
JP2014521213A5 JP2014521213A5 (ja) 2015-07-23
JP6223333B2 JP6223333B2 (ja) 2017-11-01

Family

ID=47389823

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014519127A Active JP6223333B2 (ja) 2011-07-01 2012-06-29 静電チャックアセンブリ
JP2017149233A Pending JP2017216475A (ja) 2011-07-01 2017-08-01 静電チャックアセンブリ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2017149233A Pending JP2017216475A (ja) 2011-07-01 2017-08-01 静電チャックアセンブリ

Country Status (5)

Country Link
US (1) US9117867B2 (ja)
JP (2) JP6223333B2 (ja)
KR (1) KR102025908B1 (ja)
CN (1) CN103650127B (ja)
WO (1) WO2013006407A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013232642A (ja) * 2012-04-27 2013-11-14 Ngk Insulators Ltd 半導体製造装置用部材
JP2018506853A (ja) * 2015-02-03 2018-03-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理システム用の高温チャック

Families Citing this family (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
JP6017328B2 (ja) * 2013-01-22 2016-10-26 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
JP6075555B2 (ja) * 2013-07-05 2017-02-08 日新イオン機器株式会社 静電チャックシステムおよび半導体製造装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015013142A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An electrostatic chuck for high temperature process applications
WO2015013143A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An end effector for transferring a substrate
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10252397B2 (en) 2014-10-30 2019-04-09 Applied Materials, Inc. Methods and apparatus for profile and surface preparation of retaining rings utilized in chemical mechanical polishing processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10777442B2 (en) * 2016-11-18 2020-09-15 Applied Materials, Inc. Hybrid substrate carrier
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6960737B2 (ja) * 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111448647B (zh) * 2018-03-26 2023-08-01 日本碍子株式会社 静电卡盘加热器
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US20210343512A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Cooled substrate support assembly for radio frequency environments

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001250816A (ja) * 1999-12-22 2001-09-14 Lam Res Corp 高温静電チャック
JP2005516379A (ja) * 2001-06-28 2005-06-02 ラム リサーチ コーポレーション 高温静電チャック
JP2009021592A (ja) * 2007-07-13 2009-01-29 Applied Materials Inc プラズマエッチング用高温カソード
JP2009054932A (ja) * 2007-08-29 2009-03-12 Shinko Electric Ind Co Ltd 静電チャック
JP2010199107A (ja) * 2009-02-23 2010-09-09 Mitsubishi Heavy Ind Ltd プラズマ処理装置の基板支持台

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01200625A (ja) * 1988-02-05 1989-08-11 Toshiba Corp 半導体ウェーハ処理装置
JP3297771B2 (ja) * 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
US5781400A (en) * 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6138745A (en) * 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
US6623605B2 (en) * 2001-12-06 2003-09-23 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
JP4386360B2 (ja) * 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US8481433B2 (en) 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
JP5745519B2 (ja) * 2009-08-31 2015-07-08 ラム リサーチ コーポレーションLam Research Corporation 高周波(rf)接地帰還構成
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001250816A (ja) * 1999-12-22 2001-09-14 Lam Res Corp 高温静電チャック
JP2005516379A (ja) * 2001-06-28 2005-06-02 ラム リサーチ コーポレーション 高温静電チャック
JP2009021592A (ja) * 2007-07-13 2009-01-29 Applied Materials Inc プラズマエッチング用高温カソード
JP2009054932A (ja) * 2007-08-29 2009-03-12 Shinko Electric Ind Co Ltd 静電チャック
JP2010199107A (ja) * 2009-02-23 2010-09-09 Mitsubishi Heavy Ind Ltd プラズマ処理装置の基板支持台

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013232642A (ja) * 2012-04-27 2013-11-14 Ngk Insulators Ltd 半導体製造装置用部材
JP2018506853A (ja) * 2015-02-03 2018-03-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理システム用の高温チャック

Also Published As

Publication number Publication date
US20130001899A1 (en) 2013-01-03
CN103650127B (zh) 2017-12-19
JP2017216475A (ja) 2017-12-07
KR20140045999A (ko) 2014-04-17
CN103650127A (zh) 2014-03-19
KR102025908B1 (ko) 2019-09-26
WO2013006407A1 (en) 2013-01-10
US9117867B2 (en) 2015-08-25
JP6223333B2 (ja) 2017-11-01

Similar Documents

Publication Publication Date Title
JP6223333B2 (ja) 静電チャックアセンブリ
US11282734B2 (en) Electrostatic chuck and method for manufacturing the same
US20180190501A1 (en) Plasma processing apparatus
KR102383357B1 (ko) 배치대 및 기판 처리 장치
TWI651798B (zh) 載置台及電漿處理裝置
US9948214B2 (en) High temperature electrostatic chuck with real-time heat zone regulating capability
US11289356B2 (en) Stage and plasma processing apparatus
JP6143766B2 (ja) チャンバ壁温度制御を備えたプラズマリアクタ
TWI676234B (zh) 具有凸起的頂板及冷卻通道的靜電夾盤
US20040226515A1 (en) Heat transfer assembly
KR20110041499A (ko) 고온의 정전식 척
US20150243487A1 (en) Compression member for use in showerhead electrode assembly
US20090283976A1 (en) Substrate holding apparatus
JP2012015514A (ja) プラズマ処理チャンバ用の可動接地リング
KR20060129279A (ko) 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리
JPH10223621A (ja) 真空処理装置
JP7402255B2 (ja) 高温用途のための着脱可能なバイアス可能な静電チャック
CN113169111A (zh) 具有改良的热耦合以用于热敏感处理的静电吸盘
US20120325796A1 (en) Semiconductor manufacturing apparatus
TWI811634B (zh) 高溫微區域靜電吸盤
US11393664B2 (en) Substrate placing table, plasma processing apparatus provided with same, and plasma processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160609

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170801

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20170809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171003

R150 Certificate of patent or registration of utility model

Ref document number: 6223333

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250