KR102025908B1 - 정전 척 조립체 - Google Patents

정전 척 조립체 Download PDF

Info

Publication number
KR102025908B1
KR102025908B1 KR1020147002507A KR20147002507A KR102025908B1 KR 102025908 B1 KR102025908 B1 KR 102025908B1 KR 1020147002507 A KR1020147002507 A KR 1020147002507A KR 20147002507 A KR20147002507 A KR 20147002507A KR 102025908 B1 KR102025908 B1 KR 102025908B1
Authority
KR
South Korea
Prior art keywords
puck
base
support
ring
substrate
Prior art date
Application number
KR1020147002507A
Other languages
English (en)
Other versions
KR20140045999A (ko
Inventor
버나드 엘. 황
조세 안토니오 마린
손 티. 엔구옌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140045999A publication Critical patent/KR20140045999A/ko
Application granted granted Critical
Publication of KR102025908B1 publication Critical patent/KR102025908B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판을 프로세싱하기 위한 정전 척들의 실시예들이 본 명세서에 제공된다. 일부 실시예들에서, 정전 척은, 기판을 지지하기 위한 퍽으로서, 유전체 재료로 형성되고, 기판이 퍽 상에 배치될 때 기판을 정전기적으로 유지하기 위해 퍽의 지지면에 인접하여 퍽의 내부에 배치된 척킹 전극을 가진 퍽; 퍽을 지지하기 위해 베이스로부터 연장되는 링을 가진 베이스; 및 퍽과 베이스 사이에 간극이 형성되도록, 베이스 위에 퍽을 지지하기 위해 베이스와 퍽 사이에 배치된 스페이서를 포함할 수 있고, 스페이서는 퍽의 주연 에지에 인접하여 퍽을 지지한다.

Description

정전 척 조립체{ELECTROSTATIC CHUCK ASSEMBLY}
본 발명의 실시예들은 일반적으로 반도체 프로세싱 설비에 관한 것이다.
전형적으로 통상의 정전 척 구조는 냉각판이나 다른 정전 척 컴포넌트의 최정상부(atop)에 장착된 세라믹 디스크 또는 퍽으로 구성된다. 그러나, 본 발명자들은, 이러한 방식으로 세라믹 퍽을 장착하면, 퍽으로부터 냉각판이나 다른 정전 척 컴포넌트들로 전달되는 열량이 퍽이 상승될 수 있는 온도를 제한하고, 이에 따라, 퍽 상에 배치된 기판이 가열될 수 있는 온도를 제한함으로써, 프로세싱에 사용될 수 있는 프로세스 파라미터들의 이용가능한 기회(available window)를 제한할 수 있음을 관찰하였다.
따라서, 본 발명자들은 개선된 정전 척 조립체를 제공하였다.
기판을 프로세싱하기 위한 정전 척들의 실시예들이 본 명세서에 제공된다. 일부 실시예들에서, 정전 척은, 기판을 지지하기 위한 퍽으로서, 유전체 재료로 형성되고, 기판이 퍽 상에 배치될 때 기판을 정전기적으로 유지하기 위해 퍽의 지지면에 인접하여 퍽의 내부에 배치된 척킹 전극(chucking electrode)을 가진 퍽; 베이스 및 퍽을 지지하기 위해 베이스로부터 연장되는 링; 및 퍽과 베이스 사이에 간극이 형성되도록, 베이스 위에 퍽을 지지하기 위해 베이스와 퍽 사이에 배치된 스페이서를 포함할 수 있다.
일부 실시예들에서, 정전 척은, 기판을 지지하기 위한 퍽으로서, 유전체 재료로 형성되고, 기판이 퍽 상에 배치될 때 기판을 정전기적으로 유지하기 위해 퍽의 지지면에 인접하여 퍽의 내부에 배치된 척킹 전극을 가진 퍽; 베이스; 베이스 위와 링 내부에 공간을 형성하기 위해 베이스로부터 연장되고 베이스의 최정상부에 배치되는 링; 및 퍽과 베이스 사이에 공간을 포함하는 간극이 형성되도록, 베이스 위에 퍽을 지지하기 위해 링과 퍽 사이에 배치된 스페이서를 포함할 수 있으며, 스페이서는 퍽의 주연 에지(peripheral edge)에 인접하여 퍽을 지지한다.
이하에서, 본 발명의 다른 및 추가적인 실시예들이 설명된다.
첨부된 도면들에 도시된 본 발명의 예시적 실시예들을 참조하여, 앞서 간략히 요약되고 이하에서 더 상세하게 논의되는 본 발명의 실시예들이 이해될 수 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 일부 실시예들에 따른 정전 척과 함께 사용하기에 적합한프로세스 챔버를 도시한다.
도 2는 본 발명의 적어도 일부 실시예들에 따른 정전 척의 단면도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따른 정전 척과 함께 사용하기 위한 베이스 조립체의 단면도를 도시한다.
이해를 용이하게 하기 위하여, 도면들에서 공통되는 동일한 요소들을 표시하는데 가능한 한 동일한 참조번호들이 사용되었다. 도면들은 실척에 따라 도시되지 않았고(not drawn to scale) 명료함을 위해 단순화될 수 있다. 일 실시예의 요소들과 특징들은, 명시적으로 반대로 기술되거나 함께 호환될 수 없는 것이 아니라면, 구체적인 언급 없이 다른 실시예들에 유리하게 통합될 수 있다.
기판을 프로세싱하기 위한 정전 척들의 실시예들이 본 명세서에 제공된다. 본 발명의 정전 척의 실시예들은, 유리하게, 프로세스 챔버의 내부 온도를 증가시킬 필요없이 기판 지지 컴포넌트들(예컨대, 척)과 그 위에 배치된 기판이 더 높은 온도로 가열될 수 있도록 할 수 있다. 질화 프로세스들을 실시하도록 구성된 프로세스 챔버 내에 본 발명의 정적 척이 사용되는 실시예들에서, 프로세스 챔버의 내부 온도를 높이지 않고 퍽을 더 높은 온도로 유지함으로써, 본 발명자들은 통상적으로 사용된 질소(N2) 플라즈마 대신 암모니아(NH3) 함유 플라즈마가 사용될 수 있고, 이에 따라, 더 높은 밀도의 플라즈마를 제공하고 그에 따라 더 높은 밀도의 질화 필름을 제공할 수 있음을 관찰하였다. 본 발명의 정전 척은, 더 유리하게, 통상의 베이스 조립체들과 비교하여, 더 적은 부품들을 포함하고, 제조 비용이 덜 들며, 그리고 유지가 더 용이한 베이스 조립체를 제공한다.
도 1은 본 발명의 일부 실시예들에 따른 본 발명의 정전 척과 함께 사용하기에 적합한 프로세스 챔버(100)를 도시한다. 프로세스 챔버(100)는 단독으로, 또는 통합형 반도체 기판 프로세싱 시스템의 프로세싱 모듈로서, 또는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수할 수 있는 CENTURA® DPN Gate Stack 통합형 반도체 웨이퍼 프로세싱 시스템과 같은 클러스터 툴로서 사용될 수 있다. 프로세스 챔버(100)는 반도체 프로세싱에 적합한 임의의 유형의 프로세스 챔버일 수 있다. 본 발명과 함께 사용하기에 적합한 예시적인 챔버는 캘리포니아 산타 클라라에 소재한 Applied Materials, Inc.로부터 입수할 수 있는 디커플드 플라즈마 질화(DPN)를 위해 구성된 임의의 챔버이다.
프로세스 챔버(100)는 일반적으로 (프로세싱 용적을 함께 규정하는) 벽체들(130)과 유전체 덮개(120)를 가진 챔버 본체(104), 프로세싱 용적 내부에 배치된 기판 지지체(116), 및 제어기(140)를 포함한다. 일부 실시예들에서, 벽체들(130)은 전도성일 수 있다. 이러한 실시예들에서, 벽체들(130)은 전기적 접지(134)에 커플링될 수 있다.
일부 실시예들에서, 유전체 덮개(120)는 실질적으로 평편(flat)할 수 있다. 프로세스 챔버(100)의 다른 변형들은, 예컨대, 돔 형상의 덮개 또는 다른 형상들과 같은, 다른 유형의 덮개들을 가질 수 있다. 일부 실시예들에서, 하나 또는 둘 이상의 RF 코일들(2개의 RF 코일들(110, 112)이 도시됨)이 유전체 덮개(120)에 인접하여 동축으로 배치될 수 있고, 그리고 예컨대, 가스 패널(138)을 통해 프로세스 챔버(100)에 제공되는 하나 또는 둘 이상의 프로세스 가스들로부터 플라즈마(155)를 형성하기 위해, RF 전력을 챔버 본체(104)에 유도적으로 커플링하도록 구성될 수 있다. 예컨대, 형성되는 플라즈마의 프로파일 또는 밀도를 제어하기 위해, 원하는 바에 따라, 코일들의 상대적 위치, 각각의 코일의 직경들의 비율, 및/또는 각각의 코일의 권수들이 각각 조정될 수 있다.
하나 또는 둘 이상의 RF 전력 공급 장치들(하나의 RF 전력 공급 장치(108)가 도시됨)은 정합 네트워크(match network; 115)와 RF 공급 구조체(feed sturcture)(106)를 통해 RF 코일들(110, 112)에 RF 전력을 제공한다. 특정 적용예들을 위해 원하는 바에 따라 다른 주파수들과 전력들이 제공될 수 있지만, RF 전력 공급 장치(108)는, 예시적으로, 50㎑ 내지 13.56㎒ 범위 내에서 조정가능한(tunable) 주파수로 최대 4000W를 생산할 수 있다.
일부 실시예들에서, 정합 네트워크(115)는 각각의 안테나 코일에 제공되는 RF 전력의 양을 제어하기 위해 (이를 통해, 내부 및 외부 코일들에 대응하는 구역들에서 플라즈마 특성들의 제어를 용이하게 하기 위해) 전력 분배기(power divider)를 포함할 수 있다. 이중 코일 안테나 구성은 각각의 구역 내부에서 질소 투입량(dosage)에 대한 개선된 제어를 유리하게 제공할 수 있다. 일부 실시예들에서, 전력 분배기는 정합 네트워크(115)의 일부가 아닌 별도의 컴포넌트일 수 있다.
일부 실시예들에서, RF 공급 구조체(106)는, RF 전류가 RF 코일들의 중심축에 대해 기하학적으로 대칭적인 구성으로 각각의 코일에 커플링되도록, RF 전류를 RF 코일들에 대칭적인 방식으로 제공하도록 구성된다.
일부 실시예들에서, 프로세스 챔버(100)의 내부 가열을 용이하게 하기 위해 히터 요소(121)가 유전체 덮개(120)의 최정상부에 배치될 수 있다. 히터 요소(121)는 유전체 덮개(120)와 제 1 및 제 2 RF 코일들(110, 112) 사이에 배치될 수 있다. 일부 실시예들에서, 히터 요소(121)는 저항성 가열 요소를 포함할 수 있으며, 히터 요소(121)의 온도를 제어하기에 충분한 에너지를 제공하도록 구성된 전력 공급 장치(123), 예컨대, AC 전력 공급 장치에 커플링될 수 있다. 일부 실시예들에서, 히터 요소(121)는 오픈 브레이크(open break) 히터일 수 있다. 일부 실시예들에서, 히터 요소(121)는 환형 요소와 같은 노 브레이크(no break) 히터를 포함할 수 있고, 이에 따라 프로세스 챔버(100) 내부에서 균일한 플라즈마의 형성을 용이하게 할 수 있다.
작동 중에, 기판(114)(예컨대, 반도체 웨이퍼 또는 플라즈마 프로세싱에 적합한 다른 기판)이 기판 지지체(116) 상에 위치될 수 있으며, 챔버 본체(104) 내부에 가스성 혼합물(150)을 형성하기 위해 프로세스 가스들이 가스 패널(138)로부터 입구 포트들(126)을 통해 공급될 수 있다. 플라즈마 소스(108)로부터의 전력을 제 1 및 제 2 RF 코일들(110, 112) 및 선택적으로, 하나 또는 둘 이상의 전극들(미도시)에 인가함으로써, 가스성 혼합물(150)이 플라즈마(155)내로 점화될(ignited) 수 있다. 일부 실시예들에서, 바이어스 소스(122)로부터의 전력이 기판 지지체(116)에 또한 제공될 수 있다. 챔버 본체(104)의 내부 압력은 스로틀 밸브(127)와 진공 펌프(136)를 사용하여 제어될 수 있다. 챔버 벽체(130)의 온도는 벽체(130)를 통해 이어지는(run) 액체-수용(liquid-containing) 도관들(미도시)을 사용하여 제어될 수 있다.
기판(114)의 온도는 기판 지지체(116)의 온도를 안정화시킴으로써 제어될 수 있다. 일부 실시예들에서, 가스 도관(149)을 통해, 예컨대, 헬륨과 같은, 열 제어 가스가, 가스 소스(148)로부터 기판(114)의 배면과 기판 지지면에 배치된 그루브들(미도시) 사이에 규정된 채널들로 제공될 수 있다. 열 제어 가스는 기판 지지체(116)와 기판(114) 간의 열전달을 용이하게 하기 위해 사용된다. 프로세싱 중에, 기판 지지체(116)는 저항성 히터(미도시)에 의해 정상 상태(steady state) 온도로 가열될 수 있으며, 헬륨 가스는 기판(114)의 균일한 가열을 용이하게 할 수 있다. 이러한 열 제어를 이용하면, 기판(114)은 약 0 내지 약 550℃의 온도로 유지될 수 있다.
제어기(140)는 중앙 처리 장치(CPU)(144), 메모리(142), 및 CPU(144)를 위한 지원 회로들(146)을 포함하며, 프로세스 챔버(100)의 컴포넌트들의 제어를 용이하게 한다. 제어기(140)는 다양한 챔버들과 서브 프로세서들을 제어하기 위해 산업적인 셋팅에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(144)의 메모리 또는 컴퓨터 판독가능한 매체(142)는, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬(local) 또는 원격(remote)의 임의의 다른 형태의 디지털 저장 장치와 같은, 용이하게 입수할 수 있는 하나 또는 둘 이상의 메모리일 수 있다. 지원 회로들(146)은 통상의 방식으로 프로세서를 지원하기 위해 CPU(144)에 커플링된다. 이 회로들은 캐시, 전력 공급 장치들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 프로세스 챔버(100)의 컴포넌트들 또는 프로세스 챔버(100) 내에서 실시되는 프로세스들을 제어하기 위한 알고리즘은, 전술한 방식으로 프로세스 챔버(100)의 작동을 제어하기 위해 실행되거나 호출될 수 있는 소프트웨어 루틴으로서 메모리(142)에 저장될 수 있다. 또한, 소프트웨어 루틴은 CPU(144)에 의해 제어되는 하드웨어로부터 원격으로 배치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
기판 지지체(116)는, 일반적으로, 챔버 본체(104)의 벽체(예컨대, 바닥(125))에 인접하여 배치되고 정전 척(117)을 지지하도록 구성된 베이스(119)를 포함한다. 일부 실시예들에서, 기판 지지체(116)는 전력 소스에 커플링하기 위한 전극(118)를 포함할 수 있다. 예컨대, 일부 실시예들에서, 전극(118)은 기판 지지체(116)에 대해 기판(114)을 정전기적으로 유지할 때 전극(118)에 척킹 전압을 제공하기 위해 DC 전력 소스(102)에 커플링될 수 있다. 대안적으로 또는 조합하여, 전극(118)은 정합 네트워크(124)를 통해 RF 바이어스 전력 소스(122)에 커플링될 수 있다. 일부 실시예들에서, DC 전력 소스(102)와 RF 바이어스 전력 소스(122)는 기판 지지체(116)에 배치된 서로 다른 전극들에 커플링될 수 있다.
도 2를 참조하면, 일부 실시예들에서, 정전 척(117)은 퍽(202)을 지지하도록 구성된 지지체(214)와, 지지체(214)의 상면(246)과 퍽(202)의 하면(244) 사이에 간극(242)을 제공하기 위해 지지체(214)와 퍽(202) 사이에 배치된 스페이서(240)를 일반적으로 포함할 수 있다.
지지체(214)는 베이스(252)를 지지하는 중공형 샤프트 또는 튜브(255)를 일반적으로 포함할 수 있다. 링(254)이 베이스(252)의 최정상부에 배치될 수 있고, 링은 베이스(252) 위와 링(254) 내부에 공간(250)을 형성하기 위해 베이스(252) 위로 연장된다. 지지체(214)는 임의의 적당한 재료, 예컨대, 알루미늄 또는 스테인리스 강 등과 같은 금속으로 제조될 수 있다. 일부 실시예들에서, 링(254)의 상부는 퍽(202)과 지지체(214) 사이에 원하는 간극(242)을 유지하면서 지지체(214)에 대한 퍽(202)의 커플링을 용이하게 하는 윤곽 형성(contouring) 또는 노칭(notching)(예컨대, 노치들(261, 256))을 포함할 수 있다. 별도의 컴포넌트들(즉, 튜브(255), 베이스(252) 및 링(254))로서 설명되었으나, 이 컴포넌트들 중 임의의 둘 또는 셋 이상의 컴포넌트들이 일체 성형(single piece)의 재료로 제조될 수 있고, 이에 따라 더 적은 컴포넌트들을 가진 지지체(214) 또는 일체형(unitary) 디자인을 제공한다. 일부 실시예들에서, 지지체(214)는, 정전 척(117)으로 및 정전 척(117)으로부터의 기판(114)의 전달 및 제거를 용이하게 하기 위해 지지체(214)와 퍽(202)을 (후술한) 리프트 핀이 통과할 수 있도록 하는 하나 또는 둘 이상의 리프트 핀 홀들(lift pin holes)(하나의 리프트 핀 홀(230)이 도시됨)을 포함할 수 있다.
일부 실시예들에서, 지지체(214)는, 정전 척(117)의 온도 제어 유지를 용이하게 하기 위해 지지체(214)를 통한 냉각제(coolant)의 유동을 허용하도록, 지지체(214) 내부에 배치된 하나 또는 둘 이상의 도관들(248)을 포함할 수 있다. 도관들(248)은 상술한 온도 제어를 제공하기에 적합한 임의의 방식으로 구성될 수 있다. 예컨대, 일부 실시예들에서, 도관들(248)은 도 2에 도시된 바와 같이 베이스(252) 내부에 배치될 수 있다. 대안적으로 또는 조합하여, 일부 실시예들에서, 도관들은 링(254)의 온도 제어를 용이하게 하기 위해 링(254)의 내부에 배치되거나 링을 통하여 연장될 수 있다.
일부 실시예들에서, 지지체(214)는 지지체(214)에 대한 퍽(202)의 고정(securing)을 용이하게 하기 위해 패스너(fastener; 219)가 지지체(214)를 통하여 배치될 수 있도록 하는 하나 또는 둘 이상의 관통 홀들(2개의 관통 홀들(218)이 도시됨)을 포함할 수 있다. 일부 실시예들에서, 지지체(214)는, 패스너가 체결되었을 때, 패스너(219)의 일부가 지지체(214)의 표면 너머로 거의 또는 전혀 연장되지 않도록, 패스너(219)를 수용하도록 구성된 공동(cavity; 220)을 포함할 수 있다.
패스너(219)는 정전 척에 사용하기에 적합한 임의의 유형의 패스너, 예컨대, 볼트(bolt)일 수 있다. 일부 실시예들에서, 패스너는 툴과 인터페이싱하는 헤드(236)를 가진 제 1 단부(237)와, 나사부(217)를 가진 제 2 단부(239)를 포함할 수 있다. 일부 실시예들에서, 패스너(219)는, 예컨대, 도 2에 도시된 바와 같이, 어떠한 지지체(214) 컴포넌트들도 손상시키지 않으면서, (예컨대, 후술하는 바와 같이 지지체(214)의 최정상부에 배치된 클램프 링(216)을 통해) 지지체(214)에 퍽(202)을 고정하기에 충분한 힘을 용이하게 제공하도록 스프링 장착형(spring loaded)일 수 있다.
일부 실시예들에서, 나사부(217)는 지지체(214)에 퍽(202)을 고정하기 위해 클램프 링(216)에 형성된 나사형 관통 홀(221)과 인터페이싱하도록 구성될 수 있다. 이러한 실시예들에서, 클램프 링(216) 및/또는 퍽(202) 간의 열전달을 최소화하고, 이에 따라 프로세싱 중에 프로세스 챔버의 내부 온도를 올리지 않고 퍽(202)이 더 효율적으로 가열되며 더 높은 온도를 유지할 수 있도록 하기 위해, 패스너(219)는 클램프 링(216)과 지지체(214) 사이에 간극(259)을 유지하도록 구성된 쇼울더(shoulder; 224)를 포함할 수 있다.
클램프 링(216)은 프로세싱 중에 프로세스 챔버(예컨대, 전술한 프로세스 챔버(100)) 내부의 분위기로 인한 열화(degradation)를 견디면서 퍽(202)를 고정하기에 적합한 임의의 재료로 제조될 수 있다. 예컨대, 일부 실시예들에서, 클램프 링(216)은 티타늄(Ti)으로 제조될 수 있다. 본 발명자들은, 티타늄 클램프 링(216)이 프로세스 챔버(100) 내부에서의 프로세싱으로 인한 열화를 견디며, 프로세싱으로부터 초래된 프로세스 챔버 컴포넌트들 및/또는 기판의 금속 오염을 실제로 저감하거나 제거한다는 것을 관찰하였다.
일부 실시예들에서, 지지체(214)는, 기판(114)이 퍽(202)에 적절하게 척킹되었는지의 여부의 모니터링을 용이하게 하기 위해, 기판(114)의 배면(234)으로 가스가 유동될 수 있도록 퍽(202)에 형성된 관통 홀(225)과 인터페이싱하는 도관(222)을 포함할 수 있다. 일부 실시예들에서, 도관(222)과 관통 홀(225)의 견고한(secure) 커플링을 용이하게 하기 위해, 유동 플러그(flow plug)(227)가 도관(222)에 커플링될 수 있고 관통 홀(225)과 인터페이싱하도록 구성될 수 있다. 일부 실시예들에서, 기밀 결합(airtight fit)을 제공하기 위해, 퍽(225)과 유동 플러그(227)의 일부분과 도관(222) 사이에 시일(223)이 배치될 수 있다. 시일(223)은 임의의 적당한 재료로 제조될 수 있다. 예컨대, 일부 실시예들에서, 시일(223)은 니켈 크롬 합금 등과 같은 합금을 포함하는 금속 e-시일일 수 있다. 오직 하나의 도관(222)만 도시되어 있으나, 지지체(214)는 임의의 개수, 예컨대, 둘 또는 셋 이상의 도관들(222)을 포함할 수 있다. 그러나, 본 발명자들은, 하나의 도관(222)을 제공함으로써, 하나 초과 또는 그보다 많은(예컨대, 10개 이상) 도관들(222)을 이용한 통상의 정적 척들과 비교하여, 정전 척(117)의 비용과 복잡성을 저감하면서 기판(114)의 척킹을 적절하게 모니터링할 수 있음을 관찰하였다.
퍽(202)은 일반적으로, 프로세싱 중에 기판(114)을 지지하여 유지하기 위한 상면(203)과, 기판(214)을 대면하는 대향 하면(244)을 가진 원통형의 판형 부재이다. 퍽(202)은, 전술한 바와 같이, 지지체(214)에 대한 퍽(202)의 고정을 용이하게 하기 위해 클램프 링(216)과 인터페이싱하도록 상면(203)의 주연 에지 둘레에 배치된 원주상 노치(257)를 가질 수 있다. 퍽(202)은 임의의 적절한 프로세스-호환가능한 전기 절연성 재료, 예컨대, 높은 유전 상수를 가진 재료로 제조될 수 있다. 일부 실시예들에서, 퍽(202)은 질화 알루미늄(AlN)과 같은 세라믹으로 제조될 수 있다. 퍽(202)은 그 내부에 내장된 전도성 메쉬와 같은 척킹 전극(예컨대, 전극(118))을 포함한다. 전극(118)은, 퍽(202)의 상면(203)에 기판(114)을 유지하기 위해, 기판(114)과 퍽(202) 사이에 정전기장을 생성하도록 (예컨대, DC 전력 소스(102)로부터의) DC 전압으로 통전될(energized) 수 있다. 일부 실시예들에서, 전극(118)는 또한 RF 바이어스 전극으로 사용될 수 있으며, 예컨대, 바이어스 전력 소스(122)와 같은 RF 에너지 소스에 커플링될 수 있다. 일부 실시예들에서, 퍽(202)은 퍽(202)에 내장된 히터(204)를 더 포함할 수 있다. 일부 실시예들에서, 히터(204)는 독립적으로 제어가능한 복수의 가열 구역들에 배열될 수 있다. 히터가 존재하는 경우, 히터(204)는 하나 또는 둘 이상의 히터 요소들(예컨대, 저항성 가열 요소들)을 포함할 수 있으며, (다른 컴포넌트들과 조합하여) 기판(114)의 온도 제어를 용이하게 하기 위해 퍽(202)과 궁극적으로는 기판(114)에 열을 제공하기 위해 이용될 수 있다.
일부 실시예들에서, 퍽(202)은 기판(114)의 취급 및/또는 프로세싱을 용이하게 하기 위해 하나 또는 둘 이상의 피쳐들(features)을 포함할 수 있다. 예컨대, 일부 실시예들에서, 퍽(202)으로부터 기판(114)의 상승 또는 하강을 용이하게 하기 위해 리프트 핀이 퍽(202)을 통과할 수 있도록 하나 또는 둘 이상의 리프트 핀 홀들(232)(하나의 리프트 핀 홀(232)이 도시됨)이 퍽(202)을 통하여 제공될 수 있다. 예컨대, 서로로부터 약 120°로 퍽(202) 둘레에 배치된 3개의 리프트 핀 홀들과 같은, 임의의 적당한 개수의 리프트 핀 홀들이 사용될 수 있다.
스페이서(240)는 대체로 링 형상이며, 퍽(202)의 하면(244)과 지지체(214)의 상면(246) 사이에 간극(242)을 제공하도록 지지체(214) 위에 퍽(202)을 지지한다. 일부 실시예들에서, 스페이서(240)는 퍽(202)의 주연 에지(260) 둘레에서 퍽(202)을 지지한다. 일부 실시예들에서, 스페이서(240)는 스페이서(240)가 지지체(214) 상에 확실하게 안착될 수 있도록 링(254)의 표면에 형성된 노치(256) 내부에 배치될 수 있다.
퍽(202)의 하면(244)과 지지체(214)의 상면(246) 사이에 간극(242)을 제공함으로써, 본 발명자들은 퍽(202)으로부터 지지체(214)로의 열전달이 감소될 수 있으며, 이에 따라, 프로세스 챔버의 내부 온도를 높이지 않고 퍽(202)이 더 높은 온도로 유지되도록 할 수 있음을 관찰하였다. 따라서, 간극(242)은 퍽(202)으로부터 지지체(214)로 전달되는 원하는 열량을 제한하기에 적합한 임의의 치수를 가질 수 있다. 예컨대, 일부 실시예들에서, 간극(242)은 약 1.25 내지 약 1.50㎝의 높이를 가질 수 있다.
또한, 본 발명자들은, 질화 프로세스들을 실시하도록 구성된 프로세스 챔버 내에 정적 척(117)이 사용되는 실시예들에서, 프로세스 챔버의 내부 온도를 높이지 않고 퍽(202)을 더 높은 온도(예컨대, 약 350℃ 초과, 또는 일부 실시예들에서, 약 450℃)로 유지함으로써, 통상적으로 사용된 질소(N2) 플라즈마 대신 암모니아(NH3) 함유 플라즈마가 사용될 수 있으며, 이에 따라, 더 높은 밀도의 플라즈마를 제공하고 그에 따라 더 높은 밀도의 질화 필름이 유리하게 얻어질 수 있음을 관찰하였다.
스페이서(240)는, 예컨대, 티타늄(Ti)과 같은, 퍽(202)을 지지하기에 적합한 임의의 프로세스-호환가능한 재료로 제조될 수 있다. 티타늄 스페이서(240)를 제공함으로써, 본 발명자들은 프로세싱 중에 금속 오염이 저감되거나 제거될 수 있다는 것을 관찰하였다. 스페이서(240)는 퍽(202)을 지지하기에 적합한 임의의 치수들을 가질 수 있다. 예컨대, 일부 실시예들에서, 스페이서(240)는 약 0.10 내지 약 0.11㎜의 폭을 가진 퍽 지지면을 구비할 수 있다. 본 발명자들은, 통상의 스페이서들보다 폭이 작은 퍽 지지면을 가진 스페이서(240)를 제공함으로써, 퍽(202)과 지지체(214) 간의 열전달이 더 저감될 수 있음을 관찰하였다.
일부 실시예들에서, 퍽(202)에 대한 각종 프로세싱 리소스들의 전달을 용이하게 하기 위해 하나 또는 둘 이상의 리소스 도관들(3개의 리소스 도관들(206, 208, 210)이 도시됨)을 수용하는 도관(262)이 튜브(255) 내부에 배치될 수 있다. 일부 실시예들에서, 퍽(202)의 하면(244)에 대한 플라즈마 노출을 저감하기 위해 퍽(202)으로부터 멀리 간극(242)의 통기(venting)를 용이하게 하도록, 도관(262)은 도관(262)과 튜브(225) 사이에 간극(278)이 유지되도록 충분한 크기를 가질 수 있다. 일부 실시예들에서, 가스(예컨대, 헬륨)를 제공하여 기판(114)의 배면(234)의 냉각을 용이하게 하기 위해, 제 1 리소스 도관(예컨대, 리소스 도관(206))이 도관(262) 내에 배치되어 퍽(202)에 형성된 관통 홀(264)에 커플링될 수 있다. 일부 실시예들에서, 퍽(202) 내부에 열전대를 위치시켜 퍽(202)의 온도를 모니터링하기 위해, 제 2 리소스 도관(예컨대, 리소스 도관(208))이 도관(262) 내에 배치되어 퍽(202)에 형성된 리세스(266)에 커플링될 수 있다. 일부 실시예들에서, 전력 소스(예컨대, 전술한 DC 전력 소스(102) 또는 바이어스 전력 소스(122))로부터 전극(118)까지 커플링을 제공하기 위해, 제 3 리소스 도관(예컨대, 리소스 도관(210))이 도관(262) 내에 배치되어 퍽(202)에 형성된 리세스(268)에 커플링될 수 있다. 일부 실시예들에서, 제 3 리소스 도관은 또한 히터(204)에 대해 전력을 커플링하기 위해 사용될 수 있다. 대안적으로, 다른 리소스 도관(예컨대, 제 4 리소스 도관)이 사용될 수 있다.
일부 실시예들에서, 도관(262) 내부에 배치된 도관들(즉, 리소스 도관들(206, 208, 210))에 대한 하나 또는 둘 이상의 프로세싱 리소스 공급 장치들(미도시)의 커플링을 용이하게 하기 위해, 절연체 블록(212)이 도관(262)의 제 1 단부(280)에 인접하여 적어도 부분적으로는 도관(262) 내부에 배치될 수 있다. 절연체 블록은, 예컨대, 세라믹과 같은, 임의의 적합한 전기 절연성 재료로 제조될 수 있다. 일부 실시예들에서, 절연체 블록(212)은 도관(262)에 대한 절연체 블록(212)의 커플링을 용이하게 하기 위해 링(213)과 인터페이싱하도록 구성된 플랜지(flange; 270)를 포함할 수 있다. 절연체 블록(212)은 플랜지로부터 도관(262) 내부에 배치된 절연체 블록(212)의 제 1 단부(282)까지의 길이에 적합한 임의의 치수들을 가질 수 있다. 일부 실시예들에서, 길이는 약 2.0 내지 약 2.1㎝일 수 있다. 본 발명자들은, 통상적으로 사용된 절연체 블록들보다 더 큰 치수들(즉, 전술한 길이)을 가진 절연체 블록(212)을 제공함으로써, 절연체 블록(212)이 도관(262)으로부터 절연체 블록(212)으로 증대된 열전달량을 제공할 수 있으며, 이에 따라, 증대된 도관(262)의 냉각과, 프로세스 리소스 공급 장치들과 리소스 도관들(리소스 도관들(206, 208, 210)) 사이에 개선된 연결성을 제공할 수 있음을 관찰하였다.
도 3을 참조하면, 베이스(119)는, 일반적으로, 외부 벽체(302), 리프트 핀 가이드(304) 및 (전술한) 지지체(214)의 튜브(255)와 인터페이싱하도록 구성된 리 세스(309)를 포함한다. 베이스(119)는 임의의 재료, 예컨대, 스테인리스 강 또는 알루미늄 등과 같은 금속으로 제조될 수 있다.
외부 벽체(302)는 프로세스 챔버(예컨대, 전술한 프로세스 챔버(100))의 벽체(예컨대, 바닥(125))에 커플링될 수 있으며, (전술한) 정전 척(117)을 지지하도록 구성된다. 일부 실시예들에서, 외부 벽체(302)의 상부 에지(303)는 베이스(119)를 (전술한) 지지체(214)에 커플링하도록 구성된다. 외부 벽체(302)는 정전 척(117)에 대한 지지를 제공하기에 적합한 임의의 두께를 포함할 수 있다. 예컨대, 일부 실시예들에서, 외부 벽체는 약 0.86 내지 약 0.96㎝의 두께를 가질 수 있다. 본 발명자들은, 이러한 두께를 가진 외부 벽체(302)를 제공함으로써, 통상적으로 사용된 베이스 조립체들의 다른 컴포넌트들(예컨대, 라이너들 또는 추가적인 스커트들(skirts) 등)을 베이스(119)로부터 배제할 수 있으며, 이에 따라, 더 적은 부품들을 갖고, 그로 인해 저렴하게 제조할 수 있는 베이스(119) 조립체를 제공할 수 있음을 관찰하였다.
리프트 핀 가이드(304)는, 정전 척에 대한 기판(예컨대, 전술한 바와 같이 정전 척(117)에 대해 기판(114))의 전달 및 제거 중에, 리프트 핀들(308)을 위한 안내를 제공한다. 일부 실시예들에서, 하나 또는 둘 이상의 칼라들(collars)(리프트 핀(308)당 하나의 칼라(306)가 도시됨)이 사용 중에 리프트 핀들(308)에 안정성을 제공하기 위해 리프트 핀 가이드(304)에 커플링될 수 있다. 리프트 핀 가이드(304)에 커플링된 칼라(306)를 제공함으로써, 본 발명자들은, 리프트 핀들을 안정화시키기 위한 기구(예컨대, 칼라)를 기판 지지체와 함께 제공하는 통상의 베이스 조립체들과 비교하여, 리프트 핀들(308)에 안정성을 제공하기 위해 더 적은 부품들이 필요할 수 있음을 관찰하였다.
리세스(309)는 벽체(310)와 바닥(311)을 포함하며, (전술한) 지지체(214)의 튜브(255)와 인터페이싱한다. 바닥(311)은 하나 또는 둘 이상의 관통 홀들(3개의 관통 홀들(312)이 도시됨)을 포함하며, 각각의 관통 홀은 절연체 블록(212)의 각각의 도관(즉, 리소스 도관들(206, 208, 210))에 각각의 관통 홀들(312)을 커플링하도록 구성된 커플링(313)을 갖는다.
일부 실시예들에서, 탈착가능한 스커트(322)가 바닥(125)에 탈착가능하게 커플링되고, 리프트 핀 플레이트(316)와 샤프트(318)를 둘러싼다. 탈착가능한 스커트(322)를 제공하는 것은, 유지 보수 및/또는 서비싱(servicing)을 위해 리프트 핀 플레이트(316)와 샤프트(318)에 대한 액세스를 가능하게 한다. 액추에이터(320)가 샤프트(318)에 커플링되고, 샤프트(318), 리프트 핀 플레이트(316) 및 리프트 핀(308)의 수직 운동을 제어한다. 액추에이터(320)는 이러한 운동을 제공할 수 있는 임의의 유형의 액추에이터일 수 있다. 일부 실시예들에서, 액추에이터(320)는 전동(motorized) 액추에이터이다. 운동을 제어하기 위해 전동 액추에이터를 제공함으로써, 본 발명자들은, 통상적으로 사용된 (예컨대, 공압식) 액추에이터들과는 반대로, 샤프트(318), 리프트 핀 플레이트(316) 및 리프트 핀(308)의 제어성이 개선됨을 관찰하였다.
따라서, 정전 척들의 실시예들이 본 명세서에 제공된다. 본 발명의 정전 척의 실시예들은, 유리하게, 프로세스 챔버의 내부 온도를 증가시킬 필요없이 기판 지지 컴포넌트들(예컨대, 척)과 그 위에 배치된 기판이 더 높은 온도로 가열될 수 있도록 할 수 있다. 질화 프로세스들을 실시하도록 구성된 프로세스 챔버 내에서 본 발명의 정적 척이 사용되는 실시예들에서, 프로세스 챔버의 내부 온도를 높이지 않고 퍽을 더 높은 온도로 유지함으로써, 본 발명자들은 통상적으로 사용된 질소(N2) 플라즈마 대신 암모니아(NH3) 함유 플라즈마가 사용될 수 있으며, 이에 따라, 더 높은 밀도의 플라즈마를 제공하고 그에 따라 더 높은 밀도의 질화 필름을 제공할 수 있음을 관찰하였다. 본 발명의 정전 척은, 더 유리하게, 통상적으로 사용된 베이스 조립체들과 비교하여, 더 적은 부품들을 포함하고, 제조 비용이 덜 들며, 그리고 유지 보수가 더 용이한 베이스 조립체를 제공한다.
이상의 설명은 본 발명의 실시예들에 관한 것이나, 본 발명의 기본적인 범위를 벗어나지 않고 다른 및 추가적인 실시예들이 안출될 수 있다.

Claims (15)

  1. 정전 척으로서,
    기판을 지지하기 위한 퍽(puck)으로서, 유전체 재료로 형성되고, 상기 기판이 상기 퍽 상에 배치될 때 상기 기판을 정전기적으로 유지하기 위해 상기 퍽의 지지면에 인접하여 상기 퍽의 내부에 배치된 척킹 전극(chucking electrode)을 가진, 상기 퍽;
    상기 퍽을 지지하기 위해 베이스로부터 연장되는 링을 가진 베이스로서, 상기 베이스와 상기 링은 일체 성형(single piece)의 재료로 제조된, 상기 베이스; 및
    상기 베이스의 상기 링 상단에서(atop) 지지된 스페이서로서, 상기 퍽과 상기 베이스 사이에 간극이 형성되도록, 상기 베이스 위에 상기 퍽을 지지하기 위해 상기 베이스와 상기 퍽 사이에 배치된, 상기 스페이서;를 포함하며,
    상기 스페이서는 상기 퍽의 주연 에지(peripheral edge)에 인접하여 상기 퍽을 지지하는,
    정전 척.
  2. 제 1 항에 있어서,
    상기 스페이서는 티타늄으로 제조된,
    정전 척.
  3. 제 1 항에 있어서,
    상기 스페이서는 0.10 내지 0.11㎜의 폭을 가진 퍽 지지면을 가진,
    정전 척.
  4. 제 1 항에 있어서,
    상기 간극은 1.25 내지 1.50㎝의 높이를 가진,
    정전 척.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 퍽 내부에 내장된 히터를 더 포함하는,
    정전 척.
  6. 제 5 항에 있어서,
    상기 히터는 독립적으로 제어가능한 복수의 가열 구역들을 포함하는,
    정전 척.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 퍽의 외부 주연 에지 상단에서(atop) 배치되고, 상기 베이스에 상기 퍽을 클램핑하기 위해 상기 베이스의 상기 링에 커플링된 클램프 링을 더 포함하는,
    정전 척.
  8. 제 7 항에 있어서,
    상기 클램프 링은 티타늄으로 제조된,
    정전 척.
  9. 제 7 항에 있어서,
    상기 베이스에 상기 클램프 링을 커플링하기 위한 패스너(fastener)를 더 포함하며, 상기 패스너는 상기 클램프 링을 상기 베이스로부터 이격하여 유지하는,
    정전 척.
  10. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 베이스와 상기 링은 알루미늄 또는 스테인리스 강으로 제조된,
    정전 척.
  11. 삭제
  12. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 베이스를 지지하기 위해 상기 베이스에 커플링된 튜브; 및
    상기 퍽에 대해 프로세싱 리소스들을 라우팅(route)하기 위해 상기 튜브 내부에 배치된 도관을 더 포함하는,
    정전 척.
  13. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 베이스를 통한 냉각제(coolant)의 유동을 허용하기 위해 상기 베이스 내부에 배치된 하나 또는 둘 이상의 도관들을 더 포함하는,
    정전 척.
  14. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 스페이서는 상기 퍽과 상기 링 사이에 배치된,
    정전 척.
  15. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 퍽이 상기 링과 직접 접촉하지 않도록, 상기 스페이서가 상기 퍽과 상기 링 사이에 배치된,
    정전 척.
KR1020147002507A 2011-07-01 2012-06-29 정전 척 조립체 KR102025908B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161504000P 2011-07-01 2011-07-01
US61/504,000 2011-07-01
US13/536,098 US9117867B2 (en) 2011-07-01 2012-06-28 Electrostatic chuck assembly
US13/536,098 2012-06-28
PCT/US2012/044838 WO2013006407A1 (en) 2011-07-01 2012-06-29 Electrostatic chuck assembly

Publications (2)

Publication Number Publication Date
KR20140045999A KR20140045999A (ko) 2014-04-17
KR102025908B1 true KR102025908B1 (ko) 2019-09-26

Family

ID=47389823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147002507A KR102025908B1 (ko) 2011-07-01 2012-06-29 정전 척 조립체

Country Status (5)

Country Link
US (1) US9117867B2 (ko)
JP (2) JP6223333B2 (ko)
KR (1) KR102025908B1 (ko)
CN (1) CN103650127B (ko)
WO (1) WO2013006407A1 (ko)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
JP5989593B2 (ja) 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
JP6017328B2 (ja) * 2013-01-22 2016-10-26 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
JP6075555B2 (ja) * 2013-07-05 2017-02-08 日新イオン機器株式会社 静電チャックシステムおよび半導体製造装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015013142A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An electrostatic chuck for high temperature process applications
WO2015013143A1 (en) 2013-07-22 2015-01-29 Applied Materials, Inc. An end effector for transferring a substrate
KR101905158B1 (ko) 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10252397B2 (en) 2014-10-30 2019-04-09 Applied Materials, Inc. Methods and apparatus for profile and surface preparation of retaining rings utilized in chemical mechanical polishing processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) * 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10777442B2 (en) * 2016-11-18 2020-09-15 Applied Materials, Inc. Hybrid substrate carrier
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6960737B2 (ja) * 2017-01-23 2021-11-05 株式会社日立ハイテク 真空処理装置
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN107808848A (zh) 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102411272B1 (ko) 2018-03-26 2022-06-22 엔지케이 인슐레이터 엘티디 정전척 히터
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11424096B2 (en) * 2019-11-05 2022-08-23 Applied Materials, Inc. Temperature controlled secondary electrode for ion control at substrate edge
US20210343512A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Cooled substrate support assembly for radio frequency environments

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020075625A1 (en) * 1999-12-22 2002-06-20 Greg Sexton High temperature electrostatic chuck
JP2005516379A (ja) * 2001-06-28 2005-06-02 ラム リサーチ コーポレーション 高温静電チャック
US20110100552A1 (en) * 2009-08-31 2011-05-05 Rajinder Dhindsa Radio frequency (rf) ground return arrangements

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01200625A (ja) * 1988-02-05 1989-08-11 Toshiba Corp 半導体ウェーハ処理装置
JP3297771B2 (ja) * 1993-11-05 2002-07-02 ソニー株式会社 半導体製造装置
US5781400A (en) * 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6138745A (en) * 1997-09-26 2000-10-31 Cvc Products, Inc. Two-stage sealing system for thermally conductive chuck
US6623605B2 (en) * 2001-12-06 2003-09-23 Applied Materials, Inc. Method and apparatus for fabricating a wafer spacing mask on a substrate support chuck
JP4386360B2 (ja) * 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP2009054932A (ja) * 2007-08-29 2009-03-12 Shinko Electric Ind Co Ltd 静電チャック
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5237151B2 (ja) * 2009-02-23 2013-07-17 三菱重工業株式会社 プラズマ処理装置の基板支持台
US8481433B2 (en) 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020075625A1 (en) * 1999-12-22 2002-06-20 Greg Sexton High temperature electrostatic chuck
JP2005516379A (ja) * 2001-06-28 2005-06-02 ラム リサーチ コーポレーション 高温静電チャック
US20110100552A1 (en) * 2009-08-31 2011-05-05 Rajinder Dhindsa Radio frequency (rf) ground return arrangements

Also Published As

Publication number Publication date
JP2017216475A (ja) 2017-12-07
CN103650127B (zh) 2017-12-19
US20130001899A1 (en) 2013-01-03
US9117867B2 (en) 2015-08-25
CN103650127A (zh) 2014-03-19
KR20140045999A (ko) 2014-04-17
WO2013006407A1 (en) 2013-01-10
JP6223333B2 (ja) 2017-11-01
JP2014521213A (ja) 2014-08-25

Similar Documents

Publication Publication Date Title
KR102025908B1 (ko) 정전 척 조립체
CN108281342B (zh) 等离子体处理装置
CN107578976B (zh) 具有可拆卸式气体分配板的喷淋头
US7846254B2 (en) Heat transfer assembly
US6454898B1 (en) Inductively coupled RF Plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US11289356B2 (en) Stage and plasma processing apparatus
US20090194264A1 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US10741368B2 (en) Plasma processing apparatus
US20130105085A1 (en) Plasma reactor with chamber wall temperature control
US9437400B2 (en) Insulated dielectric window assembly of an inductively coupled plasma processing apparatus
JP7402255B2 (ja) 高温用途のための着脱可能なバイアス可能な静電チャック
JP7382329B2 (ja) 基板支持体のためのプロセスキット
KR20200070118A (ko) 폴리머 증착을 감소시키기 위한 장치 및 방법
US11393664B2 (en) Substrate placing table, plasma processing apparatus provided with same, and plasma processing method
US11984300B2 (en) Plasma processing apparatus
KR20210004056A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
KR102421346B1 (ko) 플라즈마 장비
US11705346B2 (en) Substrate processing apparatus
US20210375586A1 (en) An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right