JP2014209241A - ダブルパターニング方法及び材料 - Google Patents

ダブルパターニング方法及び材料 Download PDF

Info

Publication number
JP2014209241A
JP2014209241A JP2014105978A JP2014105978A JP2014209241A JP 2014209241 A JP2014209241 A JP 2014209241A JP 2014105978 A JP2014105978 A JP 2014105978A JP 2014105978 A JP2014105978 A JP 2014105978A JP 2014209241 A JP2014209241 A JP 2014209241A
Authority
JP
Japan
Prior art keywords
group
coating composition
value
pattern
cured
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014105978A
Other languages
English (en)
Inventor
フ ペング−フェイ
Peng-Fei Fu
フ ペング−フェイ
スコット モヤー エリック
Scott Moyer Eric
スコット モヤー エリック
ディー ズール ジェイソン
D Suhr Jason
ディー ズール ジェイソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dow Silicones Corp
Original Assignee
Dow Corning Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dow Corning Corp filed Critical Dow Corning Corp
Publication of JP2014209241A publication Critical patent/JP2014209241A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups

Abstract

【課題】シルセスキオキサン樹脂を含むコーティング組成物を用いた電子デバイス上のパターン形成方法の提供。【解決手段】(I)コーティング組成物を熱酸発生剤、光酸発生剤又はアミン架橋剤から選択される活性剤を含有する第1パターン形成材料の上に塗布するステップであって、コーティング組成物が、特定のシルセスキオキサン樹脂を含む、ステップと、(II)コーティング組成物を硬化機構に露光し、第1パターン材料の表面上に硬化コーティングを生成するステップと、(III)いずれの未硬化コーティング組成物も除去するステップと、(IV)硬化コーティングをいずれの水平表面からも除去し、第1パターン材料の側壁上に硬化コーティングをもたらすステップと、(V)第1パターン材料を除去し、これにより基板上に硬化コーティング組成物からなる第2パターンを生成するステップとを具える、基板上にパターンを形成する方法。【選択図】図1

Description

マイクロエレクトロニクス産業において、所定のチップサイズについての向上した回路の要求は、工程速度を増加させ、チップの効率を向上させるため、より小さなハーフピッチノードへと向かった。マイクロリソグラフィー技術は構造機構のサイズ低減の鍵である。焦点及び分解の深度はリソグラフィー装置の開口数及び光の波長によって決まる。
ダブルパターニングはフォトリソグラフィーの機構密度を向上するために開発された技術の一種である。半導体産業にとって、ダブルパターニングは現在入手可能な193nm液浸リソグラフ装置を用いる32nm及び22nmハーフピッチノードに用いられる唯一のリソグラフィー技術であり得る。ダブルパターニングには4つの一般的なスキーム:(1)二重露光;(2)自己整合スペーサマスク;(3)混成マスク;及び(4)速やかなパターン蓄積を用いることができる。これらの中でも、自己整合スペーサマスクはおそらくもっとも期待される技術であるが、その工程は非常に高度であり、多くの複雑なステップを含む。一般的にはスペーサ材料をまず予備パターン形成機構の側壁に形成し、スピンオン又は蒸着工程により塗布した後、水平表面上のすべての膜材料をエッチング除去し、側壁のみを残す。もとのパターン形成機構を除去した後、スペーサのみが残る。線ごとに2つのスペーサが存在するので、線密度は2倍となる。
シリコン含有材料がダブルパターニングに有用であるためには、いくつかの基準を満たさなければならない。まず、フォトレジストが溶けない有機アルコール又はエーテルのような溶媒中になければならない。また、複数の硬化方法により硬化することができ、CF及びOのような異なるエッチング方法によりエッチングすることができる選択された組成物の形成を可能にすることができなければならない。特定のシルセスキオキサン樹脂はこれらの基準を満たすことが見出された。
本発明は、基板、一般的には電子デバイス上のパターンの生成におけるシルセスキオキサン樹脂を含むコーティング組成物の使用に関する。この工程は、パターン形成フォトレジスト層をその上に有する基板で開始する。コーティング組成物をパターン形成フォトレジストの上に塗布し、パターン表面で硬化し、パターン表面上に硬化シルセスキオキサン樹脂を生成する。未硬化シルセスキオキサン樹脂層を次に除去し、パターン表面上に硬化シルセスキオキサン樹脂を残す。水平表面上の硬化シルセスキオキサン樹脂を除去し、下にあるフォトレジストを露光する。このフォトレジストを除去し、硬化シルセスキオキサンのパターンを残す。任意で、新規パターンを下層へ転写することができる。
ダブルパターニング方法を用い、シルセスキオキサン樹脂を用いる基板上にパターンを形成する工程ステップを示す。
本明細書では基板上にパターンを形成する方法について開示するが、該方法は、
(I)コーティング組成物を熱酸発生剤、光酸発生剤又はアミン架橋剤から選択される活性剤を含有する第1パターン形成材料の上に塗布するステップであって、該コーティング組成物が、
(i)単位
(HSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
を含み、R’は水素原子又は1〜4個の炭素原子を有する炭化水素基であり、Rはエポキシを含有する基、アクリロキシ官能基を含有する基、及びビニルエーテル基から選択される光硬化性基であり、Rは親水性有機基であり、Rはいずれかの有機補助基であり、xは0、1又は2の値を有し、樹脂中、mは0.10〜0.95の値を有し、nは0.05〜0.7の値を有し、pは0.05〜0.5の値を有し、qは0〜0.5の値を有し、m+n+p+q?1であるシルセスキオキサン樹脂を含む、ステップと;
(II)該コーティング組成物を硬化機構に露光し、該第1パターン材料の表面上に硬化コーティングを生成するステップと;
(III)いずれの未硬化コーティング組成物も除去するステップと;
(IV)該硬化コーティングをいずれの水平表面からも除去し、該第1パターン材料の側壁上に硬化コーティングをもたらすステップと;
(V)該第1パターン材料を除去し、これにより該基板上に該硬化コーティング組成物からなる第2パターンを生成するステップと
を具える。
シルセスキオキサン樹脂は、単位
(HSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
(RSiO(3−x)/2(OR’)
を含み、R’は水素原子又は1〜4個の炭素原子を有する炭化水素基であり、Rは3−グリシドキシプロピル基又は2−(3,4−エポキシシクロへキシル)−エチル基のようなエポキシ官能基を含有する基、メタクリロキシプロピル基、アクリロキシプロピル基のようなアクリロキシ官能基を含有する基、及びビニルエーテル基から選択される光硬化性基であり、Rは、これらに限定されないが、ポリエチレングリコール基、スルホン酸基、リン酸基から選択される親水性有機基であり、Rはメチル、フェニル基を含むいずれかの有機補助基であり、xは0、1又は2の値を有し、樹脂中、mは0.10〜0.95の値を有し、nは0.05〜0.7の値を有し、pは0.05〜0.5の値を有し、qは0〜0.5の値を有し、m+n+p+q?1である。一般的にはmは0.2〜0.90、あるいは0.3〜0.85の値を有する。一般的にはnは0.05〜0.50、あるいは0.1〜0.3の値を有する。一般的にはpは0.05〜0.30、あるいは0.1〜0.20の値を有する。一般的にはqは0〜0.25、あるいは0.01〜0.20の値を有する。
R’は単独で水素原子又は1〜4個の炭素原子を有する炭化水素基である。R’の例としては、H、メチル、エチル、プロピル、イソプロピル、n−ブチル、及びtert−ブチルを挙げることができる。一般的にはR’はH又はメチルである。
Rは光硬化性有機基である。光硬化性有機基の例としては、エポキシ官能基を含有する基、アクリロキシ官能基を含有する基又はビニルエーテル基を挙げることができるが、これらに限定されない。エポキシ官能基を含有する基は、Rが1〜4個の炭素原子を有する炭化水素基又はポリエーテル基である式−ROCHCH(O)CH又はCHCH−(C(O))により表すことができる。エポキシ官能基を含有する基の例としては、3−グリシドキシプロピル基又は2−(3,4−エポキシシクロへキシル)基を挙げることができる。アクリロキシ官能基を含有する基は、Rが水素原子又はメチル基であり、Rが1〜4個の炭素原子を有する炭化水素基又はポリエーテル基である式CH=C(R)COOR−により表すことができる。アクリロキシ官能基を含有する基の例としては、メタクリロキシプロピル又はアクリロキシプロピルを挙げることができるが、これらに限定されない。ビニルエーテル基は−O−CH=CHにより表すことができる。
は親水基である。親水基の例としては、ポリエチレングリコール基、スルホン酸基、リン酸基を挙げることができるが、これらに限定されない。
本発明において有用である樹脂の例としては:
(HSiO(3−x)/2(OR’)0.5〜0.9(RSiO(3−x)/2(OR’)0.1〜0.35(RSiO(3−x)/2(OR’)0.01〜0.2
(Rがエチルシクロヘキセンオキシド(CHEp)であり、Rがポリエチレンオキシド(PEO)であり、R’がH若しくはアルキル基である)
、又は、
(HSiO(3−x)/2(OR’)0.5〜0.9(RSiO(3−x)/2(OR’)0.1〜0.35(RSiO(3−x)/2(OR’)0.01〜0.2
(Rがメタクリレート基(MA)であり、RがPEOであり、R’がH又はアルキル基である)
を挙げることができるが、これらに限定されない。
シルセスキオキサン樹脂は当技術分野において知られるいずれかの方法により生成することができる。例えば、シルセスキオキサン樹脂は適当なシランの加水分解及び/又は縮合により生成することができる。この方法により、不完全な加水分解又は縮合の結果として残留−OH及び/又はOR’がシルセスキオキサン樹脂中に残る可能性がある。−OR’基を含有するシルセスキオキサン樹脂中の単位の総量が70モル%を超えると、樹脂のゲル化及び不安定化が起こり得る。一般的にはシルセスキオキサン樹脂は、合成経路に応じて、6〜60モル%の−OR’基を含有する単位を含有する。
シルセスキオキサン樹脂を生成する別の方法は、ヒドロシルセスキオキサン(HSQ)のC=C官能基を含有するR基とのヒドロシリル化触媒の存在下での反応による。
シルセスキオキサン樹脂を生成する別の方法は、(HSiO(3−x)/2(OR’)(RSiO(3−x)/2(OR’)のC=C官能基を含有するR基及びC=C官能基を含有するR基とのヒドロシリル化触媒の存在下での反応により、式中、mは0.30〜1.0の値を有し、Rは上述のとおりである。
シルセスキオキサン樹脂は、RI検出及び標準ポリスチレンを用いるゲル透過クロマトグラフィーにより測定されるように、500〜200,000の範囲内、あるいは500〜100,000の範囲内、あるいは700〜30,000の範囲内の重量平均分子量(Mw)を有する。
シルセスキオキサン樹脂は一般的には溶媒の存在下で生成される。加水分解及び/又は縮合反応に関与し得るアルコール以外の官能基を含有しないいずれかの適切な有機又はシリコーン溶媒をシルセスキオキサン樹脂の生成に用いることができる。溶媒は一般的には溶媒及びシラン反応剤の総重量に対して40〜98重量パーセント、あるいは70〜90重量パーセントの量で用いられる。反応は二相又は単相系として行うことができる。
有用な有機溶媒の例としては、n−ペンタン、ヘキサン、n−ヘプタン、及びイソオクタンのような飽和脂肪族化合物;シクロペンタン及びシクロヘキサンのような脂環式化合物;ベンゼン、トルエン、キシレン、メシチレンのような芳香族化合物;テトラヒドロフラン、ジオキサン、エチレングリコールジエチルエーテル、エチレングリコールジメチルエーテルのようなエーテル;メチルイソブチルケトン(MIBK)及びシクロヘキサノンのようなケトン;トリクロロエタンのようなハロゲン置換アルカン;ブロモベンゼン及びクロロベンゼンのようなハロゲン化芳香族化合物;酢酸プロピレングリコールモノメチルエーテル(PGMEA)、イソ酪酸イソブチル及びプロピオン酸プロピルのようなエステル;メタノール、エタノール及びイソプロパノールのようなアルコールを挙げることができるが、これらに限定されない。有用なシリコーン溶媒の例としては、オクタメチルシクロテトラシロキサン、及びデカメチルシクロペンタシロキサンのような環状シロキサンを挙げることができるが、これらに限定されない。単一溶媒を用いてもよく、又は溶媒の混合物を用いてもよい。
シルセスキオキサン樹脂を生成する反応は、シルセスキオキサン樹脂の著しいゲル化又は硬化を引き起こさない限り、いかなる温度で行うこともできる。一般的には、反応は5℃〜150℃の範囲内の温度で行われ、15℃〜110℃が推奨されている。
シルセスキオキサン樹脂を形成する時間は、温度、反応剤のタイプ及び量、並びに触媒の量のような多数の要因によって決まる。一般的には、反応時間は数分〜数時間である。当業者であれば、反応を完了するのに必要な時間を容易に決定することができるだろう。反応を促進するのに用いることができる酸触媒としては、硝酸、硫酸、スルホン酸、塩酸、酢酸、等が挙げられるが、これらに限定されない。反応を促進するのに用いることができる塩基触媒としては、とりわけ水酸化ナトリウム、水酸化カリウム、水酸化セシウム、水酸化テトラメチルアンモニウム、トリエチルアミンが挙げられるが、これらに限定されない。
反応の完了後、触媒は任意で除去することができる。触媒の除去方法は当技術分野において周知であり、中和、揮散若しくは水洗又はこれらの組み合わせを含む。多量の触媒はとくに溶液中でのシリコーン樹脂の保存寿命に悪影響を及ぼし得、よってその除去が推奨されている。
シルセスキオキサン樹脂の生成工程では、反応を完了した後、揮発物質をシルセスキオキサン樹脂溶液から減圧下で除去することができる。こうした揮発物質としては、アルコール副生成物、過剰水、触媒、塩酸(クロロシラン経路)及び溶媒が挙げられる。揮発物質の除去方法は当技術分野において知られ、例えば蒸留が挙げられる。
シルセスキオキサン樹脂を生成する反応後、多数の任意のステップを行い、所望の形態又は所望の濃度のシルセスキオキサン樹脂を得ることができる。例えば、シルセスキオキサン樹脂は溶媒を除去することにより濃縮することができる。溶媒の除去方法は重要ではなく、多くの方法が当技術分野において周知である(例えば、加熱及び/又は真空下での蒸留)。シルセスキオキサン樹脂の濃度が特定の濃度に達すると、特定の用途のために樹脂を同じ又は別の溶媒で希釈することができる。あるいは、最終製品には異なる溶媒、反応に用いた溶媒以外が望ましい場合、第2溶媒を添加し、第1溶媒を例えば蒸留によって除去することにより、溶媒交換を行うことができる。また、溶媒中の樹脂濃度は、いくらかの溶媒を除去又は追加の溶媒を添加することにより、調整することができる。
コーティング組成物は一般的には溶媒を含有する。有用な溶媒(iii)としては、とりわけ1−メトキシ−2−プロパノール、4−メチル−2−ペンタノール、酢酸プロピレングリコールモノメチルエチル、γ−ブチロラクトン、及びシクロヘキサノンが挙げられるが、これらに限定されない。コーティング組成物は一般的には、コーティング組成物の総重量に対して、10〜99.9重量%、あるいは80〜98重量%の溶媒を含む。
コーティング組成物をその上にパターンを有する基板上に塗布する。一般的には、基板は半導体部品の製造に用いられるシリコン系デバイス及びガリウムヒ素系デバイスのような半導体デバイスである。一般的には、デバイスは少なくとも1つの半導体層及び各種導体、半導体、又は絶縁材料からなる複数の他の層を備える。
半導体デバイス上のパターンは一般的には塗布及びパターン形成されたフォトレジスト層である。一般的には、パターン形成フォトレジストは、図1に示すようにハードマスクの上に形成される反射防止コーティングの上に形成される。フォトレジスト、反射防止コーティング及びハードマスク層の塗布方法は当技術分野において知られている。フォトレジスト層におけるパターンの生成方法も当技術分野において知られている。
フォトレジスト層は、熱酸発生剤、光酸発生剤又はアミン架橋剤から選択される活性剤を含む。
熱酸発生剤は、加熱すると、例えば焼成ステップ又はレジストフロー工程中に、酸性部分を発生させることができる化合物である。熱酸発生剤は、非イオン性熱酸発生剤、イオン性酸発生剤又はポリマー酸発生剤とすることができる。非イオン性熱酸発生剤の例としては、これらに限定されないが、p−トルエンスルホン酸シクロへキシル、p−トルエンスルホン酸メンチル、2,4,6−トリイソプロピルベンゼンスルホン酸シクロへキシル、ニトロベンジルエステル、ベンゾイントシレート、2−ニトロベンジルトシレート、トリス(2,3−ジブロモプロピル)−1,3,5−トリアジン−2,4,6−トリオン、有機スルホン酸、p−トルエンスルホン酸、ドデシルベンゼンスルホン酸、シュウ酸、フタル酸、リン酸、カンファースルホン酸、2,4,6−トリメチルベンゼンスルホン酸、トリイソプロピルナフタレンスルホン酸、5−ニトロ−o−トルエンスルホン酸、5−スルホサリチル酸、2,5−ジメチルベンゼンスルホン酸、2−ニトロベンゼンスルホン酸、3−クロロベンゼンスルホン酸、3−ブロモベンゼンスルホン酸、2−フルオロカプリルナフタレンスルホン酸、ドデシルベンゼンスルホン酸、1−ナフトール−5−スルホン酸、2−メトキシ−4−ヒドロキシ−5−ベンゾイル−ベンゼンスルホン酸、及びこれらの塩のアルキルエステル、並びにこれらの混合物が挙げられる。イオン性熱酸発生剤としては、ドデシルベンゼンスルホン酸トリエチルアミン塩、ドデシルベンゼンジスルホン酸トリエチルアミン塩、炭素環アリール(例えばフェニル、ナフチル、アントラセニル、等)、ヘテロアリール(例えばチエニル)又は脂肪族スルホン酸塩、好適には炭素環アリールスルホン酸塩、任意で置換ベンゼンスルホン酸塩、等のようなスルホン酸塩が挙げられるが、これらに限定されない。炭素環アリールスルホン酸塩は非置換とすることができ、又は例えば1つ以上のヒドロキシ;任意で置換アルキル;任意で置換アルケニル;任意で置換アルコキシ;任意で置換炭素環アリール、例えば任意で置換フェニル、任意で置換ナフチル、任意で置換アントラセン等;任意で置換アラルキル、例えば任意で置換ベンジル等;並びに任意で好適には1〜3個の環、各環に3〜8個の環員、及び1〜3個のクマリニル、キノリニル、ピリジル、ピラジニル、ピリミジル、フリル、ピロリル、チエニル、チアゾリル、オキサゾリル、イミダゾリル、インドリル、ベンゾフラニル、ベンゾチアゾール、テトラヒドロフラニル、テトラヒドロピラニル、ピペリジニル、モルホリノ、ピロリジニルのようなヘテロ原子を有する置換複素芳香族又は複素脂環式基;等により置換することができる。
光酸発生剤は、UVに露光すると、例えば焼成ステップ又はレジストフロー工程中に酸性部分を発生させることができる化合物である。光酸発生剤としては、硫化物及びオニウム系化合物が挙げられる。光酸発生剤としては、ヘキサフルオロリン酸ジフェニルヨード、ヘキサフルオロヒ酸ジフェニルヨード、ヘキサフルオロアンチモン酸ジフェニルヨード、トリフルオロメタンスルホン酸ジフェニルp−メトキシフェニル、トリフルオロメタンスルホン酸ジフェニルp−トルエニル、トリフルオロメタンスルホン酸ジフェニルp−イソブチルフェニル、トリフルオロメタンスルホン酸ジフェニルp−tert−ブチルフェニル、ヘキサフルオロリン酸トリフェニルスルホニウム、ヘキサフルオロヒ酸トリフェニルスルホニウム、ヘキサフルオロアンチモン酸トリフェニルスルホニウム、トリフルオロメタンスルホン酸トリフェニルスルホニウム及びトリフルオロメタンスルホン酸ジブチルナフチルスルホニウムが挙げられるが、これらに限定されない。
アミン架橋剤は、加熱又はUVに露光すると、例えば焼成ステップ又はレジストフロー工程中にアミンを発生させることができる化合物である。アミン架橋剤の例としては、グリコウリルホルムアルデヒド樹脂、メラミンホルムアルデヒド樹脂、ベンゾグアナミンホルムアルデヒド樹脂、ウレアホルムアルデヒド樹脂、N,N,N,N−テトラ(アルコキシメチル)グリコウリル、N,N,N,N−テトラ(メトキシメチル)グリコウリル、N,N,N,N−テトラ(エトキシメチル)グリコウリル、N,N,N,N−テトラ(n−プロポキシメチル)グリコウリル、N,N,N,N−テトラ(t−プロポキシメチル)グリコウリル、N,N,N,N−テトラ(n−ブトキシメチル)グリコウリル、及びN,N,N,N−テトラ(t−ブトキシメチル)グリコウリルが挙げられるが、これらに限定されない。N,N,N,N−テトラ(メトキシメチル)グリコウリルはCytecIndustriesから商標POWDERLINK(例えば、POWDERLINK 1174)で市販されている。
一般的には、活性剤はフォトレジスト中に、フォトレジストの総重量に対して、最大20,000ppm、あるいは10〜10,000ppmの量で存在している。
コーティング組成物の基板への具体的な塗布方法としては、スピンコーティング、ディップコーティング、スプレーコーティング、フローコーティング、スクリーン印刷等が挙げられるが、これらに限定されない。一般的な塗布方法はスピンコーティングである。一般的には、コーティングは電子デバイスの1,000〜2,000RPMでの回転、及びコーティング組成物の回転する電子デバイスの表面への添加を含む。
コーティング組成物が塗布されると、いずれの溶媒も除去され、コーティング組成物を硬化機構に露光し、フォトレジストにおいて活性剤を活性化し、コーティング組成物をフォトレジストの表面で硬化する。シルセスキオキサン樹脂上の官能基及び組成物中の活性剤に応じて、硬化機構は熱又は放射線によるものであってもよい。
コーティング組成物を熱硬化するため、コーティングした基板を十分な温度まで十分な時間加熱し、硬化をもたらす。硬化は例えばコーティング組成物を80℃〜250℃で0.1〜60分、あるいは100℃〜150℃で0.5〜5分、あるいは100℃〜130℃で0.5〜2分間加熱することにより行うことができる。硬化工程中にいずれかの加熱方法を用いることができる。例えば、コーティングした電子デバイスは石英環状炉、対流式オーブン中、又は加熱板上に配置することができる。
放射線硬化は、コーティング組成物をUV、X線、eビーム、EUV、等のような放射線源に露光すると起きる。一般的には200nm〜450nmの波長を有する紫外線を用い、あるいは245nm〜365nmの波長を有する紫外線を用いる。適切な放射線源としては、水銀、水銀/キセノン、及びキセノンランプが挙げられる。好適な放射線源はKrFエキシマレーザー(248nm)である。より長い波長、例えば365nmの放射線を用いる場合、増感剤をフォトレジスト組成物に添加し、放射線の吸収を向上することが推奨されている。コーティング組成物の完全露光は一般的には100mJ/cm未満の放射線、あるいは50mJ/cm未満の放射線で達成される。
硬化するコーティング組成物の量はフォトレジスト中の活性剤の量及びコーティング組成物を硬化機構に露光する時間によって決まる。コーティング組成物を放射線源に露光する場合、その後の熱処理を行い、樹脂中での硬化を促進することが望ましくあり得る。
硬化が起こった後、未硬化コーティング組成物を現像剤溶液で除去することができる。適切な現像剤溶液は一般的には塩基水溶液、一般的には金属イオンを含まない塩基水溶液、及び任意で有機溶媒を含有する。当業者であれば適当な現像剤溶液を選択することができるだろう。標準的な産業用の現像剤溶液の例としては、水酸化ナトリウム、水酸化カリウム、炭酸ナトリウム、ケイ酸ナトリウム、メタケイ酸ナトリウム及び水性アンモニアのような有機アルカリ、エチルアミン及びn−プロピルアミンのような第1級アミン、ジエチルアミン及びジ−n−ブチルアミンのような第2級アミン、トリエチルアミン及びメチルジエチルアミンのような第3級アミン、ジメチルエタノールアミン及びトリエタノールアミンのようなアルコールアミン、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム及び塩素のような第4級アンモニウム塩、並びにピロール及びピペリジンのような環状アミンを挙げることができるが、これらに限定されない。一般的には水酸化テトラメチルアンモニウム(TMAH)のような第4級アンモニウム塩の溶液が用いられる。
未硬化コーティング組成物を除去した後、パターン形成フォトレジストの上に硬化コーティング組成物の層が残る。硬化コーティング組成物の水平表面を次に除去し、フォトレジストの側壁上に硬化コーティング組成物を残す。硬化コーティング組成物はCFのような既知の反応性イオンエッチング技術により除去することができる。
フォトレジスト層は次に、酸素、プラズマ、及び/又は酸素/二酸化硫黄プラズマのような反応性イオンでのエッチングのような既知の技術により除去することができる。適切なプラズマ装置としては、電子サイクロトロン共鳴(ECR)、ヘリコン、誘導結合プラズマ(ICP)、及び伝送結合プラズマ(TCP)システムが挙げられるが、これらに限定されない。エッチング技術は当技術分野において周知であり、当業者であれば各種市販のエッチング装置に精通しているだろう。
追加のステップ又はパターンを下層中へ転写するステップを用い、所望の構造を有するデバイスを製造することができる。
以下の実施例は本発明の実施形態を示すために含む。当業者であれば、以下の実施例に開示する技術が本発明者により本発明の実施において十分に機能することが見出された技術を表し、よってその実施について一般的な態様を構成するとみなすことができることを理解すべきである。しかしながら、当業者であれば、本開示を踏まえて、本発明から逸脱することなく、開示する具体的な実施形態において多くの変更を行うことができ、さらに同様又は類似の結果が得られることを理解すべきである。すべてのパーセントは重量%である。
(実施例1) T(H)0.65T(CHEp)0.3T(PEO)0.05の合成
トルエン中のヒドロシルセスキオキサン(Mw=2200、212g、トルエン中12.5重量%)、アリルモノメチルポリエチレングリコール(18.75g)、及びビニルシクロヘキセンオキシド(18.63g)を含有する500mLフラスコに、数滴の白金触媒を添加した。混合物を2時間80℃で撹拌した。溶媒交換により選択された溶媒の溶液を得、10重量%とした。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=4360、PDI=3.04。
(実施例2) T(H)0.55T(MA)0.30T(PEO)0.15の合成
三口3Lフラスコに、酢酸エチル(100g)、Me(OCHCH5〜9O(CHSiCl(28.84g)、メタクリロキシプロピルトリメトキシシラン(37.25g)、及びHSiCl(37.25g)を入れた。酢酸エチル(300g)及び水(27g)の溶液をフラスコに1時間かけて添加した。溶液を1時間放置した。次に樹脂溶液をDI水で洗浄し、回転蒸発器を用いてイソブタノールへ溶媒交換した。溶液を揮散させ、i−ブタノール中10重量%まで希釈した。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=9530、PDI=2.02。
(実施例3) T(H)0.6T(CHEp)0.3T(PEO)0.1の合成
トルエン中のヒドロシルセスキオキサン(424g、Mw=2200、トルエン中12.5重量%)、アリルモノメチルポリエチレングリコール(25g)、及びビニルシクロヘキセンオキシド(37.26g)を含有する500mLフラスコに、数滴の白金触媒を添加した。混合物を2時間室温で撹拌した。溶媒交換により選択された溶媒の溶液を得、10重量%とした。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=8900、PDI=4.26。
(実施例4) T(H)0.85T(CHEp)0.15T(PEO)0.05の合成
トルエン中のヒドロシルセスキオキサン(153.2g、Mw=2200、トルエン中12.5重量%)、アリルモノメチルポリエチレングリコール(6.25g)、及びビニルシクロヘキセンオキシド(9.31g)を含有する500mLフラスコに、数滴の白金触媒を添加した。混合物を2時間室温で撹拌した。溶媒交換により選択された溶媒の溶液を得、10重量%とした。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=4360、PDI=3.04。
(実施例5) T(H)0.85T(CHEp)0.15T(PEO)0.05の合成
トルエン中のヒドロシルセスキオキサン(306g、Mw=2200、トルエン中12.5重量%)、アリルモノメチルポリエチレングリコール(12.5g)、及びビニルシクロヘキセンオキシド(18.63g)を含有する500mLフラスコに、数滴の白金触媒を添加した。混合物を2時間室温で撹拌した。溶媒交換により選択された溶媒の溶液を得、10重量%とした。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=4370、PDI=2.98。
(実施例6) T(H)0.85T(CHEp)0.15T(HOP)0.05の合成
トルエン中のヒドロシルセスキオキサン(37.6g、Mw=2200、トルエン中23.5重量%)、2−アリルオキシテトラヒドロピラン(HOP、6.62g)、及びビニルシクロヘキセンオキシド(4.13g)を含有する250mLフラスコに、数滴のエーテル溶媒中の白金触媒を添加した。混合物を数時間室温で撹拌した。溶媒交換により選択された溶媒の溶液を得、10重量%とした。溶液は0.2ミクロンPTFEフィルターを通してろ過し、HDPE瓶に保存した。GPC(vs.PS):Mw=6730、PDI=3.21。
(樹脂配合、コーティング及び特性)
樹脂に応じて、所定の量の光開始剤、すなわち光酸発生剤(PAG)であるテトラキス−(ペンタフルオロフェニル)ホウ酸(p−イソプロピルフェニル)(p−メチルフェニル)ヨードニウム、又は光ラジカル発生剤(PRG)であるCiba Special ChemicalsのIrgacure 379を10重量%の樹脂溶液に溶解した(表1)。ウェーハ上の膜コーティングはKarl Suss CT62スピンコーターで行った。樹脂溶液はまず0.20ミクロンTEFLONフィルターを通してろ過した後、標準片面4インチ研磨低抵抗ウェーハ又は両面研磨FTIRウェーハ上にスピンコーティングした(とくに指示のない限り回転速度=2000rpm、加速速度=5000、時間=20秒)。ウェーハを120℃で60秒間予備焼成した後、広帯域UV照射を行った(UV線量は表1にJ/mで示す)。ウェーハを次に120℃で60秒間後焼成した。その後、硬化ウェーハをTMAH溶液(0.24N)中に60秒間浸漬した。後硬化後のTMAH現像剤による膜損失(%)は、硬化ウェーハをTMAH(0.24N)に1分間浸漬する前後の膜厚変化をJ.A.Woollam偏光解析器を用いて測定することにより割り出した。記録した厚さの値は7測定の平均である。

Claims (18)

  1. (I)コーティング組成物を熱酸発生剤、光酸発生剤又はアミン架橋剤から選択される活性剤を含有する第1パターン形成材料の上に塗布するステップであって、該コーティング組成物が、
    (i)単位
    (HSiO(3−x)/2(OR’)
    (RSiO(3−x)/2(OR’)
    (RSiO(3−x)/2(OR’)
    (RSiO(3−x)/2(OR’)
    を含み、R’は水素原子又は1〜4個の炭素原子を有する炭化水素基であり、Rはエポキシを含有する基、(メタ)アクリロキシ官能基を含有する基、及びビニルエーテル基から選択される光硬化性基であり、Rは親水性有機基であり、Rはいずれかの有機補助基であり、xは0、1又は2の値を有し、樹脂中、mは0.10〜0.95の値を有し、nは0.05〜0.7の値を有し、pは0.05〜0.5の値を有し、qは0〜0.5の値を有し、m+n+p+q=1であるシルセスキオキサン樹脂を含む、ステップと;
    (II)該コーティング組成物を硬化機構に露光し、該第1パターン材料の表面上に硬化コーティングを生成するステップと;
    (III)いずれの未硬化コーティング組成物も除去するステップと;
    (IV)該硬化コーティングをいずれの水平表面からも除去し、該第1パターン材料の側壁上に硬化コーティングをもたらすステップと;
    (V)該第1パターン材料を除去し、これにより該基板上に該硬化コーティング組成物からなる第2パターンを生成するステップと
    を具える、基板上にパターンを形成する方法。
  2. mが0.2〜0.90の値を有し、nが0.05〜0.50の値を有し、pが0.05〜0.30の値を有し、qが0〜0.25の値を有する、請求項1に記載の方法。
  3. mが0.3〜0.85の値を有し、nが0.1〜0.3の値を有し、pが0.1〜0.20の値を有し、qが0.01〜0.20の値を有する、請求項1に記載の方法。
  4. Rは、Rが1〜4個の炭素原子を有する炭化水素基又はポリエーテル基の、式−ROCHCH(O)CH又はCHCH−(C(O))を有するエポキシ基である、請求項1、2又は3に記載の方法。
  5. Rが3−グリシドキシプロピル基である、請求項4に記載の方法。
  6. Rが2−(3,4−エポキシシクロへキシル)基である、請求項4に記載の方法。
  7. Rは、Rが水素原子又はメチル基であり、Rが1〜4個の炭素原子を有する炭化水素基又はポリエーテル基の、式CH=C(R)COOR−を有するアクリロキシ官能基である、請求項1、2又は3に記載の方法。
  8. Rがメタクリロキシプロピルである、請求項7に記載の方法。
  9. Rがアクリロキシプロピルである、請求項7に記載の方法。
  10. Rがビニルエーテル基である、請求項1、2又は3に記載の方法。
  11. 前記コーティング組成物が溶媒も含む、請求項1に記載の方法。
  12. 前記コーティング組成物が加熱により熱硬化される、請求項1に記載の方法。
  13. 前記コーティング組成物が放射線に露光により硬化される、請求項1に記載の方法。
  14. いずれの未硬化コーティング組成物も現像剤溶液で除去される、請求項1に記載の方法。
  15. 前記硬化コーティングがいずれの水平表面からも反応性イオンエッチング技術により除去される、請求項1に記載の方法。
  16. 前記硬化コーティングがCFでのエッチングにより除去される、請求項1に記載の方法。
  17. 前記第1パターン形成材料がエッチングにより除去される、請求項1に記載の方法。
  18. 前記第1パターン形成材料がOプラズマでのエッチングにより除去される、請求項1に記載の方法。
JP2014105978A 2009-07-23 2014-05-22 ダブルパターニング方法及び材料 Pending JP2014209241A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22792509P 2009-07-23 2009-07-23
US61/227,925 2009-07-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012521647A Division JP5571788B2 (ja) 2009-07-23 2010-06-22 ダブルパターニング方法及び材料

Publications (1)

Publication Number Publication Date
JP2014209241A true JP2014209241A (ja) 2014-11-06

Family

ID=43499589

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012521647A Active JP5571788B2 (ja) 2009-07-23 2010-06-22 ダブルパターニング方法及び材料
JP2014105978A Pending JP2014209241A (ja) 2009-07-23 2014-05-22 ダブルパターニング方法及び材料

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012521647A Active JP5571788B2 (ja) 2009-07-23 2010-06-22 ダブルパターニング方法及び材料

Country Status (8)

Country Link
US (1) US8728335B2 (ja)
EP (1) EP2457126A4 (ja)
JP (2) JP5571788B2 (ja)
KR (1) KR101295858B1 (ja)
CN (1) CN102439523B (ja)
SG (1) SG177241A1 (ja)
TW (1) TWI497216B (ja)
WO (1) WO2011011140A2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101957746B1 (ko) * 2011-03-29 2019-03-15 다우 실리콘즈 코포레이션 디바이스 제조에 사용하기 위한 광-패턴화가능하고 현상가능한 실세스퀴옥산 수지
CN103681293B (zh) * 2012-09-10 2016-04-20 中芯国际集成电路制造(上海)有限公司 自对准双重图形化方法
CN103681234B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
JP5829994B2 (ja) * 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法
CN103280403B (zh) * 2013-05-14 2015-04-08 上海华力微电子有限公司 双栅氧器件的制造方法
WO2017112653A1 (en) * 2015-12-22 2017-06-29 Carbon, Inc. Dual precursor resin systems for additive manufacturing with dual cure resins
KR102398664B1 (ko) 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
JP2017138514A (ja) * 2016-02-04 2017-08-10 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 表面処理用組成物およびそれを用いたレジストパターンの表面処理方法
US10990012B2 (en) 2016-05-03 2021-04-27 Dow Silicones Corporation Silsesquioxane resin and oxaamine composition
KR102395936B1 (ko) 2016-06-16 2022-05-11 다우 실리콘즈 코포레이션 규소-풍부 실세스퀴옥산 수지
CN110248725B (zh) 2016-12-22 2022-08-02 伊鲁米那股份有限公司 包括树脂膜和图案化的聚合物层的阵列
KR102067082B1 (ko) * 2017-01-19 2020-01-16 삼성에스디아이 주식회사 패턴 형성 방법 및 반도체 소자
WO2018236358A1 (en) * 2017-06-20 2018-12-27 Intel Corporation DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
FI128886B (en) * 2019-02-25 2021-02-26 Pibond Oy Functional hydrogen silicon oxane polymers and their uses

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
DE10217151A1 (de) * 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
JP4045430B2 (ja) 2002-12-24 2008-02-13 信越化学工業株式会社 パターン形成方法及びパターン形成材料
US7846550B2 (en) * 2003-12-23 2010-12-07 Momentive Performance Materials Gmbh Curable siloxane composition with modified surface properties
JP2006117846A (ja) * 2004-10-22 2006-05-11 Hitachi Chem Co Ltd パターン形成用樹脂組成物及びパターン形成方法
CA2630460C (en) 2005-12-01 2013-01-08 F. Hoffmann-La Roche Ag Heteroaryl substituted piperidine derivatives as l-cpt1 inhibitors
JP4553835B2 (ja) * 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
US7892982B2 (en) 2006-03-06 2011-02-22 Samsung Electronics Co., Ltd. Method for forming fine patterns of a semiconductor device using a double patterning process
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
JP5085649B2 (ja) * 2006-06-28 2012-11-28 ダウ コーニング コーポレーション 電子吸引基を有する塩基性添加剤を含有するシルセスキオキサン樹脂システム
US8148043B2 (en) * 2006-06-28 2012-04-03 Dow Corning Corporation Silsesquioxane resin systems with base additives bearing electron-attracting functionalities
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100910042B1 (ko) * 2006-09-20 2009-07-30 삼성전자주식회사 이중 패터닝 기술을 이용한 플래시 메모리 소자 및 그 제조방법
JP5000250B2 (ja) * 2006-09-29 2012-08-15 東京応化工業株式会社 パターン形成方法
EP2089774A2 (en) * 2006-12-06 2009-08-19 FujiFilm Electronic Materials USA, Inc. Device manufacturing process utilizing a double pattering process
US7901869B2 (en) 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US7651950B2 (en) * 2007-09-28 2010-01-26 Hynix Semiconductor Inc. Method for forming a pattern of a semiconductor device
US20090142694A1 (en) * 2007-11-30 2009-06-04 Braggone Oy Siloxane polymer compositions and methods of using the same
CN101903977A (zh) * 2007-12-21 2010-12-01 朗姆研究公司 光刻胶两次图案化
JP5035151B2 (ja) 2008-07-10 2012-09-26 Jsr株式会社 パターン反転用樹脂組成物及び反転パターン形成方法
WO2010032796A1 (ja) * 2008-09-19 2010-03-25 日産化学工業株式会社 サイドウォール形成用組成物
JP5438959B2 (ja) * 2008-12-24 2014-03-12 東京応化工業株式会社 パターン形成方法
JP5663140B2 (ja) 2009-01-22 2015-02-04 東京応化工業株式会社 被覆パターン形成方法、レジスト被覆膜形成用材料、パターン形成方法

Also Published As

Publication number Publication date
EP2457126A2 (en) 2012-05-30
JP5571788B2 (ja) 2014-08-13
TWI497216B (zh) 2015-08-21
CN102439523A (zh) 2012-05-02
KR101295858B1 (ko) 2013-08-12
EP2457126A4 (en) 2016-05-11
WO2011011140A2 (en) 2011-01-27
WO2011011140A3 (en) 2011-03-31
CN102439523B (zh) 2015-01-07
SG177241A1 (en) 2012-02-28
TW201111918A (en) 2011-04-01
JP2012533907A (ja) 2012-12-27
KR20120044367A (ko) 2012-05-07
US8728335B2 (en) 2014-05-20
US20120118856A1 (en) 2012-05-17

Similar Documents

Publication Publication Date Title
JP5571788B2 (ja) ダブルパターニング方法及び材料
JP5247936B2 (ja) 反転パターン形成方法及び材料
KR101436336B1 (ko) 광가교 경화의 레지스트 하층막을 형성하기 위한 규소 함유레지스트 하층막 형성 조성물
JP5364207B2 (ja) 反転パターン形成方法及び材料
TWI490656B (zh) 可切換之抗反射塗料
JP5825177B2 (ja) 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
WO2010087233A1 (ja) シリコン含有膜、樹脂組成物およびパターン形成方法
JP4946787B2 (ja) レジスト下層膜用組成物及びその製造方法
KR101648612B1 (ko) 패턴 형성 방법
TW201339249A (zh) 作為硬罩幕抗反射塗覆材料之以二叔丁氧基雙乙醯氧基矽烷為主之倍半矽氧烷樹脂及其製造方法
TW202116833A (zh) 包含具有硬化性官能基之化合物之階差基板被覆組成物

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150317

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150501

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151117