JP2013524510A5 - - Google Patents

Download PDF

Info

Publication number
JP2013524510A5
JP2013524510A5 JP2013502606A JP2013502606A JP2013524510A5 JP 2013524510 A5 JP2013524510 A5 JP 2013524510A5 JP 2013502606 A JP2013502606 A JP 2013502606A JP 2013502606 A JP2013502606 A JP 2013502606A JP 2013524510 A5 JP2013524510 A5 JP 2013524510A5
Authority
JP
Japan
Prior art keywords
layer
substrate
processing
silicon
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013502606A
Other languages
English (en)
Other versions
JP2013524510A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2011/027914 external-priority patent/WO2011126660A2/en
Publication of JP2013524510A publication Critical patent/JP2013524510A/ja
Publication of JP2013524510A5 publication Critical patent/JP2013524510A5/ja
Pending legal-status Critical Current

Links

Description

p型拡散領域の上に負荷電パッシベーション層を形成する方法
本発明の諸実施形態は、一般に太陽電池の製造に関し、特に結晶シリコン太陽電池の表面を安定化処理するデバイス構造体および方法に関する。
太陽電池は、太陽光を電力に直接変換する光起電デバイスである。最も一般的な太陽電池材料はシリコン(Si)であり、単結晶、多結晶(polycrystalline)または多結晶(multi-crystalline)の基板の形をしている。シリコンベースの太陽電池を使用して発電された電気のコストは、従来の方法で発電された電気のコストよりも高いために、太陽電池の全体効率に悪影響を及ぼさないで太陽電池の製造コストを低減する取組みが行われてきた。
図1は、結晶シリコン基板110から製造された標準的なシリコン太陽電池100の断面図を概略的に描いている。基板110は、ベース領域101、エミッタ領域102、pn接合領域103、誘電体パッシベーション層104、前面電気接点107、および後面電気接点108を含む。pn接合領域103は、太陽電池のベース領域101とエミッタ領域102の間に配置されており、入射光子によって太陽電池100が照光されたときに電子−正孔対が発生する領域である。パッシベーション層104は、太陽電池100の反射防止コーティング(ARC)層としてだけでなく、エミッタ領域102の表面105のパッシベーション層としても機能しうる。
太陽電池100の効率は、反射防止コーティング(ARC)層を使用することによって高めることができる。光が1つの媒体から別のものへ、例えば空気からガラスへ、またはガラスからシリコンへと進むと、光の一部が2つの媒体の間の境界面から反射することが、入射光が境界面に対して垂直の場合でもありうる。反射される光の割合は、2つの媒体間の屈折率の差と相関関係があり、屈折率の差が大きければ大きいほど、境界面から反射される光の割合が高くなる。2つの媒体間に配置された、2つの媒体の各屈折率の間の屈折率値を有するARC層は、反射される光の割合を低減させることが知られている。それゆえに、表面105上のパッシベーション層104などのARC層が太陽電池100の受光面にあることにより、太陽電池100から反射される、したがって電気エネルギーを発生するために使用できない入射照射の割合が低減する。
光が太陽電池に当たると、入射光子のエネルギーにより、pn接合領域103の両側に電子−正孔対が発生する。典型的なn型エミッタ領域102とp型ベース領域101では、電子がpn接合を越えて低エネルギーレベルに拡散し、正孔が反対の方向に拡散し、それによってエミッタに負電荷が作り出され、対応する正電荷蓄積がベース内に作り出される。p型エミッタ領域102およびn型ベース領域(例えば、参照番号101)を有する別の構成では、電子がpn接合を越えて拡散してエミッタに正電荷を形成し、正孔が反対の方向に拡散してベース内に負電荷蓄積を形成する。どちらの場合も、エミッタとベースの間に電気回路が作られると電流が流れ、太陽電池100によって電気が生成される。太陽電池100が入射エネルギーを電気エネルギーに変換する効率は、太陽電池100内での電子と正孔の再結合率、および太陽電池100から反射される入射光の割合を含む、いくつかの要素による影響を受ける。
再結合は、太陽電池100内で反対方向に移動する電子と正孔が互いに結合するときに起こる。太陽電池100内で電子−正孔対が再結合するたびに電荷キャリアが消去され、それによって太陽電池100の効率が低減する。再結合は、基板110のバルクシリコン内、または基板110のどちらかの表面105、106で起こりうる。バルク内では、再結合はバルクシリコン内の欠陥の数と相関関係がある。基板110の表面105、106では、再結合は、ダングリングボンド、すなわち終端していない化学結合が表面105、106にどれだけあるかということと相関関係がある。ダングリングボンドは表面105、106に存在する。というのは、これらの表面で基板110のシリコン格子が終止するからである。これらの終端されていない化学結合は、シリコンのエネルギーバンドギャップ内にある欠陥トラップして働き、したがって、電子−正孔対の再結合の部位になる。
上記のように、パッシベーション層104の1つの機能は、パッシベーション層104が上に形成されるエミッタ領域(1つまたは複数)102またはベース領域101の表面でのキャリア再結合を最小限にすることである。太陽電池デバイス内に形成されているp型ドープされた領域の上に配置されたパッシベーション層104に負電荷を形成すると、太陽電池中を移動するキャリアを排斥する助けになり、したがって、キャリア再結合を低減させ、太陽電池デバイスの効率を改善できることが見出されている。従来のプラズマ処理技法を使用して、正味の正電荷を有するパッシベーション層を形成するのは比較的簡単であるが、シリコン基板の表面に安定した負荷電パッシベーション層を形成するのは困難である。
太陽電池の表面の完全なパッシベーションは、表面再結合を低減することにより太陽電池の効率を大きく改善する。本明細書では、「パッシベーション」は、シリコン格子の表面にあるダングリングボンドの化学的終端と定義する。表面105などの太陽電池100の表面を安定化処理するために、パッシベーション層104は典型的にはその表面に形成され、それによって、表面105にあるダングリングボンドの数は、大きさが3桁または4桁低減する。太陽電池応用例では、パッシベーション層104は、一般に窒化ケイ素(Si、またSiNとも略される)層であり、ダングリングボンドの大部分は、シリコン(Si)原子または窒素(N)原子で終端される。しかし、窒化ケイ素(SiN)はアモルファス材料であるので、エミッタ領域102のシリコン格子とパッシベーション層104のアモルファス構造との間の完全な結び付きは起こりえない。それゆえに、パッシベーション層104の形成後に表面105に残っているダングリングボンドの数はなお、太陽電池100の効率を低減させるのに十分であり、そのため、水素パッシベーションなどの表面105の追加パッシベーションが必要になる。多結晶シリコン太陽電池の場合では、水素はまた、粒界上の欠陥中心を安定化処理する助けにもなる。
したがって、電荷キャリアの表面再結合を最小限にして、形成された太陽電池の効率を改善するために、太陽電池デバイスの表面に望ましい電荷型および電荷密度を有するパッシベーション層、ならびに望ましい光学特性およびパッシベーション特性を有するパッシベーション層を形成する改善された方法が必要とされている。
本発明の諸実施形態では一般に、太陽電池デバイスを形成する方法を提示し、この方法は、基板上に形成されたp型ドープされた領域の表面の上に負電荷含有層を形成するステップと、負電荷含有層の上にバルク層を形成するステップとを含む。p型ドープされた領域の上に形成される負電荷含有層は一般に、太陽電池デバイス内に流れる電子を排斥し表面を安定化処理して、キャリア再結合を最小限にすると共に、形成される太陽電池デバイスの効率を改善するために使用される。負電荷含有層の、隣接するp型領域中に流れる電子を排斥、または基板表面を「フィールド」安定化処理する能力は、キャリア再結合を低減させるために重要であり、高効率太陽電池もまた高品質パッシベーション層を有し、このパッシベーション層は、形成されるデバイスの効率を一般的に向上する他の光学的に有益な特性、および他のパッシベーション特性を有する。したがって、いくつかの構成では、太陽電池デバイスは、基板表面に所望のパッシベーション効果を与えるための異なる材料組成物、異なる物理特性(例えば、機械的および光学的特性)、および/または異なる電気特性を有する1つまたは複数の層、または傾斜領域を含みうる。
本発明の諸実施形態ではさらに、太陽電池基板の表面に形成された1つまたは複数のp型ドープされた領域と、1つまたは複数のp型ドープされた領域の上に配置された第1の層と、第1の層の上に配置され、正味の正電荷を有するバルク層とを含む太陽電池デバイスを提示しうる。
本発明の諸実施形態ではさらに、太陽電池基板の表面に形成された1つまたは複数のp型ドープされた領域と、1つまたは複数のp型ドープされた領域の上に配置された負電荷を有する第1の層と、第1の層の上に配置され、正味の正電荷を有するバルク層とを含む太陽電池デバイスを提示しうる。いくつかの構成では、第1の層内にある正味の負電荷量は、バルク層内にある正味の正電荷量よりも大きく、または等しくなりうる。いくつかの構成では、第1の層内にある正味の負電荷量は、太陽電池基板の表面で1×1012クーロン/cmよりも大きい電荷密度を実現するように適合される。
本発明の諸実施形態ではさらに、太陽電池基板の表面に形成された1つまたは複数のp型ドープされた領域と、1つまたは複数のp型ドープされた領域の上に配置され、フッ素または塩素を含み、かつ酸素、窒素、シリコンおよびアルミニウムを含むリストから選択された少なくとも2つの元素を含む第1の層と、第1の層の上に配置され、正味の正電荷を有し、かつシリコンおよび窒素を含むバルク層とを含む、太陽電池デバイスを提示することができる。
上述の、本発明の特徴が列挙された方法が細部にわたり理解できるように、上で簡潔に要約した本発明のより具体的な説明は、添付の図面にそのいくつかが示されている実施形態を参照することによって得られよう。しかし、本発明では、その他の同様に効果的な実施形態を認めることができるので、添付の図面は、本発明の典型的な実施形態を示すのみであり、したがって、本発明の範囲を限定するものとみなされるべきでないことに留意されたい。
単結晶または多結晶シリコンウエハから製造された従来のシリコン太陽電池を概略的に描いた断面図である。 本発明のプロセスの一実施形態の様々な段階に対応する基板の一部分を描いた断面図である。 本発明のプロセスの一実施形態の様々な段階に対応する基板の一部分を描いた断面図である。 本発明のプロセスの一実施形態の様々な段階に対応する基板の一部分を描いた断面図である。 本発明のプロセスの一実施形態の様々な段階に対応する基板の一部分を描いた断面図である。 本発明の一実施形態による、シリコン基板に対し実施されるパッシベーション層形成プロセスを描いたプロセス流れ図である。 本発明の諸実施形態を実施するために使用できる平行板PECVD(プラズマ化学気相堆積)システムの概略側面図である。 複数の処理チャンバを有する処理システムの一実施形態の概略上面図である。 本発明の一実施形態による、シリコン基板に対し実施されるパッシベーション層形成プロセスを描いたプロセス流れ図である。
理解しやすくするために、各図面で共通の同じ要素を指定するのに、可能な場合には同じ参照番号が使用されている。一実施形態の要素および特徴は、別に明示されていなくても他の実施形態に有利に組み込まれうることが企図されている。
本発明は一般に、p型ドープされた領域の上に高品質パッシベーション層を形成して高効率太陽電池デバイスを形成する方法を提供する。本発明の諸実施形態は、シリコン基板内に形成されるホウ素ドープされた領域の表面を調製するのにとりわけ有用でありうる。一実施形態では、これらの方法は、太陽電池基板の表面をプラズマに曝して清浄にし、表面の物理特性、化学特性および/または電気特性を改変し、次に、ある電荷量を含有する誘電体層を堆積し、その上にパッシベーション層を堆積させることを含む。本発明から利益を得ることができる太陽電池基板は、単結晶シリコン、多結晶(multi-crystalline)シリコン、および多結晶(polycrystalline)シリコンを含有する活性領域がある基板を含むが、また、ゲルマニウム(Ge)、ガリウム砒素(GaAs)、テルル化カドミウム(CdTe)、硫化カドミウム(CdS)、セレン化銅インジウムガリウム(CIGS)、セレン化銅インジウム(CunSe)、リン化ガリウムインジウム(GanP)、有機材料を含む基板、ならびに、GanP/GaAs/GeまたはZnSe/GaAs/Ge基板などの、太陽光を電力に変換するために使用されるヘテロ接合電池に有用なこともある。
本発明の諸実施形態はまた一般に、p型ドープされた領域の表面などの基板の1つまたは複数の表面に、負電荷含有パッシベーション層スタック、すなわちパッシベーション層構造を形成する方法を提示する。p型領域の上に形成される負電荷含有層は一般に、太陽電池デバイス内に流れる電子を排斥し表面を安定化処理して、キャリア再結合を最小限にすると共に、形成される太陽電池デバイスの効率を改善するために使用される。負電荷含有パッシベーション層の、隣接するp型領域中に流れる電子を排斥、または基板表面を「フィールド」安定化処理する能力は、キャリア再結合を低減させるために重要であるが、高品質パッシベーション層は、形成される太陽電池デバイスの効率を一般的に向上する他の光学的に有益な特性、および他のパッシベーション特性もまた有する必要がある。追加パッシベーション層特性は、この層が上に配置される表面(1つまたは複数)を「表面」安定化処理するパッシベーション層の能力と、基板の隣接する領域および表面を「バルク」安定化処理するパッシベーション層の能力とに分類されることが多い。パッシベーション層がこれらの機能を実施できる機構には、例えば、形成されるパッシベーション層の、基板の諸領域の欠陥を修正するために使用される水素(H)の供給源になる能力と、形成されるパッシベーション層の、基板の表面に存在する各ダングリングボンドを結び付けることができる物理特性および/または化学特性とが含まれる。
一般に、p型領域の上に形成されるパッシベーション層は、その中に形成され配置された望ましい量の負電荷を有し、光反射を最小限にする望ましい光学特性を有し、基板表面に存在する浅い欠陥を直す好ましい濃度の水素を含有する。一般に、望ましい光学特性を有するパッシベーション層は、パッシベーション層が形成された領域(1つまたは複数)の全体にわたって光学的傾斜、すなわち屈折率の傾斜を有する。窒化ケイ素は、形成するのが容易であり、シリコンの屈折率(例えば、n=3.0)とガラスの屈折率(例えば、n=1.5)の間の屈折率を有し、また望ましい濃度の水素を保持できる安定した膜であるので、普通に好まれるパッシベーション層材料である。しかし、負電荷を有する窒化ケイ素(Si)パッシベーション層を形成するのは一般に難しい。したがって、一実施形態では、高品質パッシベーション層は、所望のパッシベーション効果を得るための異なる組成物、異なる物理特性、または異なる電気特性を有する1つまたは複数の層または傾斜領域を含むことがある。
図2C〜2Dに概略的に図示された一実施形態では、p型ドープされた領域の表面205を覆って形成されたパッシベーション層220は、高品質パッシベーション層を形成するそれぞれ異なる組成物、物理特性、および電気特性を有する2つの層を含む。一実施形態では、パッシベーション層220は、界面の層221およびバルク層222を含む。この界面の層は、p型領域、すなわちこの場合はエミッタ領域202、の表面205を安定化処理するように構成されている誘電体材料を含むことができ、また、p型ドープされた領域の望ましい表面パッシベーションを得るために、望ましい量の捕獲負電荷Qを含有する。バルク層222は、望ましい光学特性を有するように構成されている誘電体材料を含み、p型領域の表面205を安定化処理することができる。一実施形態では、捕獲負電荷(例えば、クーロン)の、約−1×1012クーロン/cmよりも大きい電荷密度を実現できる正味総量を有するパッシベーション層220を形成することが望ましい。電荷密度値の前の負符号は単に、層内の捕獲された電荷が正に対して元来負であることを意味するものであることに留意されたい。
一実施形態では、バルク層222は、望ましい屈折率(n)、吸収係数(k)、膜応力、および密度を有する1つまたは複数の窒化ケイ素層を含む。図2Eおよび図2Fは、バルク層222の一実施形態を図示し、このバルク層は、それぞれ異なる組成物、物理特性および/または電気特性をそれぞれが有する第1のパッシベーション層222Aおよび第2のパッシベーション層222Bを含む。一例では、第1のパッシベーション層222Aおよび第2のパッシベーション層222Bは窒化ケイ素層であり、それぞれ異なる少なくとも1つの特性を有する。一般に、プラズマ化学気相堆積(PECVD)技法または物理的気相堆積(PVD)技法を使用して形成された窒化ケイ素膜は、約1.9から約2.15の間の屈折率を有し、かつ捕獲正電荷Q(図2D)を有する。パッシベーション層220内に捕獲「正」電荷Qがあると、太陽電池デバイス中を移動する電子を引き付けて、可動キャリアの方向転換および/または望ましくないキャリア再結合を引き起こす傾向があり、それによって太陽電池デバイスの効率が低減する。
したがって、パッシベーション層220の一実施形態では、界面層221内の捕獲負電荷Qの総量は、バルク層222内の捕獲正電荷Qの総量よりも大きく、その結果、正味の捕獲負電荷Qにより、バルク層222内の正味の蓄えられた捕獲正電荷Qの影響を打ち消すことが可能になる。一実施形態では、パッシベーション層220内に存在する捕獲負電荷Qの総量と捕獲正電荷Qの総量との合計は、約−1×1012クーロン/cmよりも大きい界面電荷密度を実現するのに十分な捕獲電荷となる。一例では、パッシベーション層220は、約−2×1012クーロン/cmから約−4×1013クーロン/cmの間など、約−1×1012クーロン/cmから約−1×1014クーロン/cmの間の界面電荷密度を実現するのに十分な捕獲電荷を有する。所望の電荷密度数値の前の負符号は単に、表面205で見られる電荷が正に対する負であることを意味するものであり、それゆえQの絶対値はQの絶対値よりも大きいことに留意されたい。別の実施形態では、パッシベーション層220内に存在する捕獲負電荷Qの総量と捕獲正電荷Qの総量との合計は約ゼロになる(すなわち、|Q|と|Q|は等しい)。この場合、表面205に見られる捕獲正電荷Qの影響は、少なくとも最小限にされているが、表面205またはその下にある電子による捕獲負電荷の排斥効果は一般に見られない。界面層221およびバルク層222の両方がそれぞれ中に正電荷および負電荷を有する場合、本明細書で論じられている、また基板内のキャリアに影響を及ぼすQおよびQの値は、正味の電荷の値、すなわち、それぞれの層内に獲得された正電荷の総量の絶対値から総負電荷量の絶対値を差し引いたものの合計になる。さらに別の実施形態では、パッシベーション層220の電子排斥効果は重要ではないが、捕獲正電荷のすべてが少なくとも相殺されることを確実にすることが重要であり、パッシベーション層220内に存在する捕獲負電荷Qの総量と捕獲正電荷Qの総量との合計が、約0から約−1×1014クーロン/cmの間の界面の電荷密度を実現するのに十分な捕獲電荷となることが望ましいことがある。いくつかの実施形態では、捕獲電荷が、表面205またはその下にある電子を排斥するための望ましい電界強度を有することを確実にするように、捕獲負電荷Q1のバルクを表面205から100オングストローム(Å)以下のところに位置付けることが望ましい。というのは、電子を排斥する能力は、捕獲負電荷Q1と電子(1つまたは複数)の間の距離の2乗で1を割ったもの(1/d)によって変化するからである。
界面の層221が表面205とバルク層222の間に位置付けられたパッシベーション層220の構造の1つの利点は、バルク層222内に形成された正電荷によって作り出される電界が、バルク層222が表面205から離れることによって低減されることである。電界(E)強度は、表面205とバルク層222の間の距離の2乗に反比例し、それゆえバルク層222が表面205から遠く離れれば離れるほど、太陽電池デバイス中に流れる可動キャリアに対してバルク層222が及ぼす影響が少なくなることに留意されたい。したがって、一実施形態では、界面層221は、バルク層222内に存在する正電荷の影響を低減させるために用いられる望ましい厚さを有する。一例では、界面層221は、約50オングストローム(Å)から約800Åの間の厚さの誘電体層である。一実施形態では、パッシベーション層220が、表面205など、基板(例えば、エミッタ領域202)のp型受光面の上に配置される場合、界面層221は、約50オングストローム(Å)から約200Åの間の厚さの誘電体層である。一実施形態では、パッシベーション層220が、表面206など、p型基板(例えば、ベース領域201)の裏面の上に配置される場合、界面層221は、約500オングストローム(Å)から約800Åの間の厚さの誘電体層である。一実施形態では、界面層221の厚さは、界面層221内に捕獲負電荷Qを作り出す所与のプロセス製法の能力を補償し、そうして、表面205の下のp型ドープされた領域に対する、バルク層222内に形成された捕獲正電荷Qの影響を制御するように調整される。一例では、形成された界面層221内の捕獲負電荷Qの量がたとえごくわずかであっても、正に荷電されるバルク層222を表面205からある距離だけ離して間隔をあけることによって、表面205の正電荷によって作り出される電界が低減され、そうして太陽電池の効率が改善される。
パッシベーション層形成プロセス
図2A〜2Fは、太陽電池200の表面(例えば、表面205)にパッシベーション層220を形成するために使用される処理シーケンスの別々の段階中の、太陽電池基板210の概略断面図を図示する。図3は、太陽電池基板210上にパッシベーション層を形成するために用いられる処理シーケンス300を図示する。図3に見出されるシーケンスは、図2A〜2Fに描かれた、本明細書で論じられている各段階に対応する。太陽電池200の一実施形態では、ベース領域201は、n型結晶シリコン基板(例えば、参照番号201)を含み、エミッタ領域202は、ベース領域201の上に形成されたp型層を含む。以下の議論では主として、p型エミッタ領域がn型ベース領域の上に形成された基板を処理するための方法および装置を論じるが、パッシベーション層はまたp型ベース領域太陽電池構成の上に形成することもできるので、この構成は、本明細書に記載の本発明の範囲を限定するものではない。
図2Aは、少なくとも部分的に形成された、基板210を含むシリコン太陽電池200の断面図を概略的に図示する。基板210は、ベース領域201、エミッタ領域202、およびpn接合領域203を含む。pn接合領域203は、太陽電池のベース領域201とエミッタ領域202の間に配置されており、光の入射光子によって太陽電池200が照光されたときに電子−正孔対が発生する領域である。
しかし、太陽電池デバイスの通常の処理中に、薄くて一般に特性がよくない自然酸化物層215が、基板210の各表面のうちの1つ以上で形成される。p型ホウ素ドープされた領域の上に自然酸化物層215が形成される構成では、酸化物層215は、ホウ素・ケイ酸塩ガラス(BSG)含有層を含みうる。一例では、BSG(ホウ素・ケイ酸塩ガラス)含有酸化物層は、n型ベース領域201の上に形成されたp型エミッタ領域202を覆って形成されている(図2A)。他の例では、BSG(ホウ素・ケイ酸塩ガラス)型酸化物層は、p型基板ベース領域201の後面の表面206を覆って形成される。形成された酸化物層215の厚さおよび密度は、この層がどのようにして形成されたかによって決まる。というのは、場合により酸化物層は、ドーパントを「打ち込む」ために、または基板210上に形成された1つまたは複数の層をアニールするために用いられる炉アニールステップなど、1つまたは複数の熱処理ステップの間中または後に形成されうるからである。場合により、酸化物層は、長期にわたり空気に曝されることによって形成されうる。
さらに、部分的に形成された太陽電池デバイスが、酸化物層215と基板210の表面の間の界面に形成された高濃度のドーパント原子を含有する不感領域216を有することも普通である。不感領域216の高いドーパント濃度は、事前のドーピングステップまたは熱処理ステップの間中にドーパント原子が基板210の表面205まで拡散することによってもたらされると考えられる。一例では、不感領域216は、シリコンを含有するp型ドープされたエミッタ領域202の表面に高濃度のホウ素原子(例えば、>0.1原子%)を含有する。一実施形態では、不感領域216は、約50オーム毎平方(Ω/□)未満のシート抵抗を有する領域を形成するのに十分なだけ高いドーピング濃度を有する。一般に、これらのホウ素ドープされた層を、ウェット化学エッチング処理を含みうる従来の処理技法を使用して除去するのは難しい。しかし、後続の処理中に太陽電池基板が汚染されることを防止し、ドープされた表面を覆って形成された誘電体パッシベーション層のパッシベーション効果を改善するには、清浄な基板表面を形成することが一般に重要である。
図3を参照すると、太陽電池基板210上にパッシベーション層を形成するために使用される処理シーケンス300は、一般にボックス302から開始する。ボックス302で、基板210の表面を洗浄して、基板の表面に形成された酸化物層215(図2A)を除去する。ボックス302で実施される処理は、1つの基板処理チャンバ内で実施される単一の処理ステップにおいて、あるいは1つまたは複数の基板処理チャンバ内で実施される複数の別々の処理ステップとして、実施することができる。一実施形態では、ボックス302の洗浄処理は、基板210を反応性プラズマエッチング処理に曝して酸化物層215を除去するドライ洗浄処理を用いて実施することができる。ドライ洗浄処理およびドライ処理チャンバの一例を、図4および図5と一緒に後でさらに説明する。
一実施形態では、ボックス302で、基板210の1つ以上を図4のチャンバ400などの処理チャンバ内に配置した後に、酸化物層215を反応性ガスに曝して、酸化物層215の上に薄膜(図示せず)を形成する。この反応性ガスは、窒素、フッ素、および/または水素を含みうる。いくつかの実施形態では、反応性ガスは、ラジカルおよび/またはイオンを含有する窒素、フッ素、塩素、またはこれらの組合せを含み、基板を中に配置した処理チャンバに供給され、基板の方へと誘導される。薄膜は一般に、酸化物層215からの酸素とのラジカルの反応によって形成された固体化合物を含む。次に、処理チャンバの内部で実施される、アンモニア(NH)と三フッ化窒素(NH)の混合ガスを使用して基板の表面の自然酸化物を除去する例示的な反応性洗浄処理を説明する。反応性洗浄処理は、基板を処理チャンバの中に入れることから始まる。処理の間中、基板は、約15℃から約50℃の間など、約65℃未満に冷却されうる。
次に、ボックス302で実施される処理の一部分の間中に、酸化物層215上に形成された薄膜を熱処理して基板の表面から除去する。いくつかの実施形態では、この熱処理は、処理チャンバ400の中、またはシステム500に存在する別の隣接チャンバの中で実施されるアニール処理でありうる。このステップの間中に、薄膜は基板表面から昇華し、それによって酸素および他の不純物が取り除かれ、水素終端層(図示せず)が後に残る。いくつかの実施形態では、水素終端層はまた、形成された水素終端層中にフッ素原子の痕跡を有することもある。
次に、ボックス304で、ドライ洗浄処理を用いることによって、基板210の表面から不感領域216を除去する。一実施形態では、基板210の表面から酸化物層215を除去した後、次に基板をドライ処理チャンバへ送出して不感領域216を除去する。一般には、ボックス304で実施されるドライ洗浄処理は、不感領域216を高周波プラズマに所望の時間曝して不感領域をエッチングし、その曝された基板表面から除去するステップを一般に含む。このようなドライ処理チャンバおよびドライ洗浄処理の一例を、図4および図5と一緒に後でさらに説明する。場合により、洗浄処理303を実施した後で、洗浄された表面の再酸化を防止するために、ボックス304で基板に対して洗浄処理を実施する前に基板が酸素に長時間曝されないことを確実にすることが望ましい場合があることに留意されたい。したがって、本発明のいくつかの実施形態では、基板が各処理ステップ間で酸素に曝されないように、ボックス302〜308の処理のすべてをクラスタツール、すなわちシステム500(図5)の真空処理領域中などの、酸素がない不活性および/または真空の環境で実施することが望ましい。
次に、ボックス306で、図2Bおよび図3に示されるように、界面層221を基板の表面205に形成する。一実施形態では、界面層221は誘電体層であり、これには酸化ケイ素(Si)、酸窒化ケイ素(SiON)、酸炭化窒化ケイ素(SiOCN)、酸炭化ケイ素(SiOC)、酸化チタン(Ti)、酸化タンタル(Ta)、酸化ランタン(La)、酸化ハフニウム(Hf)、窒化チタン(Ti)、窒化タンタル(Ta)、窒化ハフニウム(HfN)、酸窒化ハフニウム(HfON)、窒化ランタン(LaN)、酸窒化ランタン(LaON)、塩素化窒化ケイ素(Si:Cl)、塩素化酸化ケイ素(Si:Cl)、アモルファスシリコン、アモルファス炭化ケイ素、および/または酸化アルミニウム(Al)の群から選択された材料が含まれる。別の実施形態では、界面層221は、フッ素化酸化ケイ素(Si:F)、およびフッ素化窒化ケイ素(Si:F)の群から選択された材料を含む誘電体層である。一では、前記のように、界面層221は約50オングストローム(Å)から約800Åの間の厚さでありうる。一実施形態では、界面層221は、化学気相堆積(PECVD)技法、または物理的気相堆積(PVD)技法を用いて表面205の上に形成される。界面層221形成プロセスの一例を、図4および図5と一緒に後でさらに説明する。
次に、ボックス308で、図2C〜2Dおよび図3に示されるように、バルク層222を界面層221の上に、プラズマ化学気相堆積(PECVD)処理を用いて形成する。一実施形態では、バルク層222は、基板の表面を安定化処理するために使用される、パッシベーション層222Aおよび222B(図2E〜2F)などの複数のパッシベーション層を含む。一実施形態では、バルク層222は、酸化ケイ素、窒化ケイ素、アモルファスシリコン、アモルファス炭化ケイ素、および/または酸化アルミニウム(Al)を含む薄いパッシベーション層および/または反射防止層を含む。一実施形態では、窒化ケイ素(SiN)パッシベーションと反射防止の層、または薄いアモルファスシリコン(a−Si:H)層もしくはアモルファス炭化ケイ素(a−SiC:H)層と窒化ケイ素(SiN)のスタックが、適切な大面積基板キャリア上に支持された複数の太陽電池基板に対して化学気相堆積(PECVD)技法を用いて、表面205の上に形成される。一実施形態では、パッシベーション層220は、真性アモルファスシリコン(i−a−Si:H)層、および/またはp型アモルファスシリコン(p型a−Si:H)層スタックを含むことができ、その後には、物理的気相堆積(PVD)処理または化学気相堆積(例えば、PECVD)処理を用いることによって堆積できる透明導電酸化物(TCO)および/またはARC層(例えば、窒化ケイ素)が続く。形成されるスタックは一般に、前表面電界効果を生じさせて表面再結合を低減するように、かつ基板上に形成された近くのドープされた接点への電子キャリアの横方向輸送を促進するように構成される。パッシベーション層形成プロセスの一例を以下でさらに説明する。
処理シーケンス300の一実施形態では、ボックス304の処理を実施した後、ただしボックス306の処理を実施する前に、基板210の表面205を反応性ガス含有高周波プラズマに曝す。この高周波プラズマは、表面205と界面層221の間に位置付けられる補足負荷電層を高周波プラズマ処理ステップを用いて形成するのに使用される。一実施形態では、補足負荷電層は、フッ素(F)および/または塩素(Cl)に富む約50オングストローム(Å)未満の厚さの層を含む。表面205を直接、プラズマを含有するイオン化フッ素および/またはイオン化塩素に曝すことによって、曝された表面をフッ素または塩素に富み負電荷を有する層で「ドープする」、「充填する」または覆うことができると考えられる。一実施形態では、シリコン含有表面に形成される補足負荷電層は、約−1×1012クーロン/cmよりも大きい負電荷密度を有することが望ましい。堆積された荷電層は、一般に望ましい電荷密度を含有するが、荷電層はまた、その上に界面層221を形成することを、形成された荷電層の特性を著しく劣化させることなく可能にするのに十分なだけ物理的、化学的および電気的に安定である必要がある。
ハードウェア構成
図4は、図3と一緒に図示し論じた処理のうちの1つ以上を実施できるプラズマ化学気相堆積(PECVD)チャンバ400の一実施形態の概略断面図である。同様に構成されたプラズマ化学気相堆積チャンバが、カリフォルニア州Santa ClaraにあるApplied Materials,Inc.から入手可能である。本発明を実践するのに、他の製造者からのものを含め、他の堆積チャンバを利用できることが企図されている。
処理チャンバ400内に設けられたプラズマ処理構成は、図3で説明されている処理のうちの1つ以上を実施するために使用される場合に、従来技術の構成と比べて顕著な諸利点を有すると考えられる。一実施形態では、PECVD(プラズマ化学気相堆積)チャンバ400は、複数の基板を一度に処理するように構成される。一実施形態では、プラズマ化学気相堆積(PECVD)処理チャンバ400は、基板の垂直スタック(例えば、カセット内に積層された基板のバッチ)を処理するのとは対照的に、平面アレイ配列(図5)の形で配列された複数の基板を同時に処理するように適合されている。平面アレイの形で配列された基板のバッチを処理することで、バッチ内の基板それぞれを、発生させたプラズマ、輻射熱、および/または処理ガスに直接および均等に曝すことが可能になる。したがって、平面アレイ内の各基板は、処理チャンバの処理領域内で同様に処理され、そうして、従来の技術で普通に見られる積層された、または背中合わせに構成された基板のバッチなど、従来通りに構成された処理されるバッチ中のすべての基板に対する拡散型処理、および/またはエネルギーの直列伝達に依拠しない。
構成では、PECVD(プラズマ化学気相堆積)チャンバ400は、基板のバッチを搬送ステップおよび基板処理ステップの間中保持するように構成された基板キャリア425(図4および図5)を収容するように適合されている。一実施形態では、基板キャリア425は、約10,000cm以上、好ましくは約40,000cm以上、より好ましくは約55,000cm以上の表面積を有し、その上に配置された基板の平面アレイを処理の間中支持するように構成されている。一実施形態では、基板キャリア425は、その中に複数の凹部(図示せず)が形成されており、これらの凹部は、サイズが156mm×156mm×0.3mmである約4枚から約49枚の間の太陽電池基板を上向きまたは下向きの構成で保持するように適合されている。基板キャリア425は、セラミック(例えば、炭化ケイ素、アルミナ)、黒鉛、金属、または他の適切な材料から形成されうる。一構成では、太陽電池基板のバッチは、キャリア425の上の真空または不活性の環境中(例えば、図5の移送チャンバ520)で、複数の処理チャンバの間を同時に搬送して汚染の可能性を低減させ、従来技術の構成と比べて基板スループットを改善する。
チャンバ400は一般に、壁402、底部404、およびシャワーヘッド410、ならびに処理容積406を画定する基板支持体430を含む。処理容積は、基板キャリア425の上に配置された基板をチャンバ400の内外へ搬送できるように、弁408を通してアクセスされる。基板支持体430は、基板を支持するための基板受取り面432と、基板支持体430を上下させるリフトシステム436に結合された軸434とを含む。433の影は、任意選択で基板キャリア425の周辺の上に置くことができる。リフトピン438は、基板キャリア425を基板受取り面432まで往復移動させるために、基板支持体430を貫通して移動可能に配置される。基板支持体430はまた、基板支持体430を所望の温度に維持するために、埋込加熱および/または冷却要素439を含みうる。基板支持体430はまた、基板支持体430の周辺で高周波接地するための接地ストラップ431を含みうる。接地ストラップの諸例が、2000年2月15日発行のLawらの米国特許第6,024,044号、および2006年12月20日出願のParkらの米国特許出願第11/613,934号に記載されており、両特許文献は、本開示と矛盾しない範囲で、参照によりその全体が組み込まれる。一実施形態では、基板支持体430は、基板支持体430の上に配置された基板210に高周波バイアスを印加できるように、基板支持体430に埋め込まれた電極(例えば、参照番号439)に結合された高周波源(図示せず)を有する。
シャワーヘッド410は、その周辺で懸架部414によってバッキング板412に結合される。シャワーヘッド410はまた、1つまたは複数の中心支持体416によってバッキング板に結合されて、シャワーヘッド410のたるみを防止し、かつ/またはその直線性/湾曲を制御する助けになりうる。ガスがバッキング板412を通過し、またシャワーヘッド410の孔411を通り抜けて基板受取り面432まで供給されるように、ガス源420がバッキング板412に結合される。処理容積406を所望の圧力に制御するために、真空ポンプ409がチャンバ400に結合される。高周波電力をシャワーヘッド410に供給するために、高周波電源422がバッキング板412および/またはシャワーヘッド410に結合され、その結果シャワーヘッドと基板支持体の間に電界が、シャワーヘッド410と基板支持体430の間に配置されたガスを用いて容量結合プラズマを発生できるように作り出されることになる。約0.3MHzから約200MHzの間の周波数など、様々な高周波周波数を使用することができる。一実施形態では、13.56MHzの周波数の高周波電源がシャワーヘッド(すなわち電極)に設けられる。シャワーヘッドの諸例は、2002年11月12日発行のWhiteらの米国特許第6,477,980号、2006年11月17日公開のChoiらの米国特許出願公開第20050251990号、および2006年3月23日公開のKellerらの米国特許出願公開第2006/0060138号に開示されており、これらの特許文献は、本開示と矛盾しない範囲で、参照によりその全体が組み込まれる。基板210の処理面210A(図4)に容量結合プラズマが直接接触することは、処理中に処理面210Aのすべての部分に直接高エネルギー種および/またはイオン化種を供給するチャンバ400構成の能力により、基板のすべてをプラズマに直接曝さない設計と比べて有利であると考えられる。全処理面210Aに加わるプラズマ相互作用の程度は、シャワーヘッド410に送出される高周波電力、処理中のチャンバ圧力、および/または基板支持体430に印加されるバイアスを調整することによって、チャンバ400内で直接制御することができる。典型的な非直接曝露型チャンバ構成には、処理中、発生したプラズマが基板のうちの1つ以上、もしくは各基板の一部分に拡散することに依拠する遠隔駆動プラズマ構成または他の積層ウエハ構成が含まれる。
しかし、いくつかの実施形態では、誘導結合遠隔プラズマ源などの遠隔プラズマ源424はまた、ガス源とバッキング板の間に結合されることもある。1つの処理構成では、基板を処理している間に、チャンバ構成要素を洗浄するために遠隔プラズマが発生され供給されるように、洗浄ガスを遠隔プラズマ源424に供給することができる。洗浄ガスはさらに、シャワーヘッドに設けられた高周波電源422によって励起することもできる。適切な洗浄ガスには、それだけには限らないが、NF、FおよびSFが含まれる。遠隔プラズマ源の諸例は、1998年8月4日発行のShangらの米国特許第5,788,778号に開示されており、同特許は、本開示と矛盾しない範囲で、参照によりその全体が組み込まれる。
一実施形態では、加熱および/または冷却要素439は、約200℃などの、約400℃以下、好ましくは約100℃から約400℃の間、より好ましくは約150℃から約300℃の間の基板支持体温度を堆積中に得るように設定することができる。堆積中、基板受取り面432に配置された基板キャリア425の上に配置される基板の上面とシャワーヘッド410の間の間隔は、400ミルから約1,200ミル、好ましくは400ミルから約800ミルの間でありうる。
図5は、図3と一緒に説明した処理を実施できる図4のPECVD(プラズマ化学気相堆積)チャンバ400または他の適切なチャンバなどの、複数の処理チャンバ531〜537を有する処理システム500の一実施形態の概略上面図である。処理システム500は、負荷ロックチャンバ510および処理チャンバ531〜537に結合された移送チャンバ520を含む。負荷ロックチャンバ510により、システム外部の周囲環境と移送チャンバ520および処理チャンバ531〜537の内部の真空環境との間で基板を搬送することが可能になる。1つまたは複数の基板キャリア425を保持するように構成された負荷ロックチャンバ510は、1つまたは複数の排気可能な領域を含み、基板キャリア425は、複数の基板210を支持するように構成されている。排気可能領域は、システム500の中への基板の投入時に排気され、システム500からの基板の取出し時に通気される。移送チャンバ520は、その中に配置された少なくとも1つの真空ロボット522を有し、この真空ロボットは、基板キャリア425および基板を負荷ロックチャンバ510と処理チャンバ531〜537の間で搬送するように適合されている。7つの処理チャンバが図5に示されているが、システム500は、任意の適切な数の処理チャンバを有しうる。
システム500の一実施形態では、基板に対して、第1の処理チャンバ531がボックス302の中の処理を実施するように構成され、第2の処理チャンバ532がボックス304の中の処理を実施するように構成され、第3の処理チャンバ533がボックス306の中の処理を実施するように構成され、第4の処理チャンバがボックス308の中の処理を実施するように構成される。システム500の別の実施形態では、基板に対して、第1の処理チャンバ531が、ボックス302および304の第1の洗浄処理を実施するように構成され、第2の処理チャンバ532がボックス306の中の処理を実施するように構成され、第3の処理チャンバ533がボックス308の中の処理を実施するように構成される。システム500のさらに別の実施形態では、基板に対して、第1の処理チャンバ531がボックス302および304の中の処理(1つまたは複数)を実施するように構成され、第2の処理チャンバ532がボックス306および306の中の処理(1つまたは複数)を実施するように構成される。システム500のさらに別の実施形態では、基板に対して、処理チャンバ531〜537のうちの少なくとも1つが、ボックス302、303、304、306および308などの処理のすべてを実施するように構成される。
パッシベーション層形成プロセス
図3を再び参照すると、処理シーケンス300の1つの相の間、基板210の表面は、基板の表面に界面層221およびバルク層222を形成するために用いられる複数の処理ステップにかけられる。以下は、上で論じた処理チャンバ400に類似の処理チャンバ内で実施できる、ボックス306〜308で実施される処理の説明的な例である。以下で説明する処理は一般に、システム500などの1つまたは複数のクラスタツール内に存在する1つまたは複数の処理チャンバ(例えば、処理チャンバ400)において実施されるドライ処理技法を主として用いて基板の表面を調製する方法を含む。一実施形態では、処理シーケンス300で実施される処理のすべてが、1つまたは複数のシステム500内に存在する処理チャンバ531〜537のうちの1つ以上で実施される。図4および図5に図示されたハードウェア構成は、本明細書に記載の本発明の範囲に関して限定的なものではないことに留意されたい。
上記のように、表面205を酸素に曝すこと、および/または基板210に対して様々な高温処理ステップを実施する行為により、酸化物層215および不感領域216が表面205に形成されうる。多くの実施形態では、この形成は、p型またはn型のドープされた層など、太陽電池接合部の最後の層の形成後に行われる。別の実施形態では、この形成は、高濃度にドープされた、または縮退的にドープされたp型層を形成した後など、1つまたは複数の導電層を形成する前に行われる。本明細書で説明されている本発明の様々な実施形態は、エミッタ領域202など、堆積された層の表面を洗浄することに関連して論じられているが、この構成は、本発明の範囲に限定されるものではないことに留意されたい。というのは、本明細書に記載の装置および洗浄処理(1つまたは複数)は、本明細書に記載の本発明の基本範囲から逸脱することなく、太陽電池形成プロセスの任意の相の間中使用することができるからである。
一実施形態では、基板キャリア425上に配置された基板210のバッチにボックス302〜304の処理を実施した後に、基板は次に、ボックス306で実施される処理を基板に対して実施できるように、処理チャンバの中に位置付けられる。一実施形態では、ボックス306で基板は、前駆体含有ガスおよび高周波プラズマに曝されて、界面層221が基板の曝露面に形成される。一例では、基板は、ある量の前駆体ガスを含有する13.56MHz高周波プラズマに曝され、この前駆体ガスは、酸化ケイ素(Si)、酸窒化ケイ素(SiON)、酸炭化窒化ケイ素(SiOCN)、酸炭化ケイ素(SiOC)、酸化チタン(Ti)、酸化タンタル(Ta)、酸化ランタン(La)、酸化ハフニウム(Hf)、窒化チタン(Ti)、窒化タンタル(Ta)、窒化ハフニウム(HfN)、酸窒化ハフニウム(HfON)、窒化ランタン(LaN)、酸窒化ランタン(LaON)、塩素化窒化ケイ素(Si:Cl)、塩素化酸化ケイ素(Si:Cl)、アモルファスシリコン、アモルファス炭化ケイ素、および/または酸化アルミニウム(Al)を含む誘電体膜を形成するために使用される。別の例では、基板は、ある量の前駆体ガスを含有する13.56MHz高周波プラズマに曝され、この前駆体ガスは、フッ素化酸化ケイ素(Si:F)、およびフッ素化窒化ケイ素(Si:F)を含む誘電体膜を形成するために使用される。一例では、前駆体ガスは、シラン(SiH)、窒素(N)またはアンモニア(NH)とフッ素(F)を含むガスの混合物である。
一実施形態では、界面層221は、カリフォルニア州Santa ClaraのApplied Materials Inc.から入手可能なSNOW(登録商標)酸化ケイ素膜層などの酸化ケイ素を含む。一般に、SNOW(登録商標)膜層形成プロセスは、表面205をシリコン含有前駆体に高周波プラズマと同時に曝すことによって膜を堆積させることを含む。シリコン含有前駆体は、オクタメチルシクロテトラシロキサン(OMCTS)、メチルジエトキシシラン(MDEOS)、ビス(第三ブチルアミノ)シラン(BTBAS)、トリジメチルアミノシラン(TriDMAS)、シラン、ジシラン、ジクロロシラン、トリクロロシラン、ジブロモシラン、四塩化ケイ素、四臭化ケイ素、またはこれらの組合せを含みうる。一態様では、OMCTSおよびシランが好ましいシリコン含有前駆体である。シリコン含有前駆体と同時にチャンバの中に任意選択で導入されるガスは、ヘリウム、窒素、酸素、亜酸化窒素、およびアルゴンなどのキャリアガスを含む。追加ガスが使用される場合には、酸素および/またはヘリウムがチャンバに導入するのに好ましい追加ガスである。一例では、SNOW(登録商標)酸化ケイ素層は、まず、シリコン含有前駆体およびキャリアガス(例えば、ヘリウム)をチャンバの中に、約1.8トールから約10トールの間など、約5ミリトールより大きいチャンバ圧力を実現する所望の流量で送出することによって形成される。チャンバに入るシリコン含有前駆体で例えばオクタメチルシクロテトラシロキサンの流量と、キャリアガスで例えばヘリウムの流量との比は、約1:1から約1:100の間である。一事例では、処理チャンバ400の中に位置付けられた基板支持体430の温度は、約200℃から約400℃の間でありうる。シリコン含有前駆体は、約50Åから約800Åの間の厚さを有する層を堆積させるのに十分な時間、チャンバの中に送出されうる。プラズマは、約40kHzから100MHzの間の約13.56MHzなどの周波数で、約3000Wから約12,000Wの間の高周波電力を送出することによって形成することができる。この高周波電力は、シャワーヘッド410および/または基板支持体430に供給することができる。次に、堆積された層に酸素プラズマ処置を実施して酸化ケイ素の層を生じさせる。酸素または亜酸化窒素などの酸素含有ガスをある流量でチャンバの中に導入して、約500ミリトールから約10トールの間のチャンバ圧力を実現することができる。この酸素含有ガスは、約0.1秒から約120秒の間などの時間、チャンバの中に送出されうる。酸素プラズマは、約40kHzから約100MHzの間の約13.56MHzなどの周波数で、約50Wから約3000Wの間の高周波電力をチャンバ内に印加することによって形成することができる。酸素含有ガスがチャンバに流れ込んでいる間、基板の温度は約175℃から約500℃の間の温度に維持される。
酸化ケイ素膜形成プロセスの一実施形態では、シリコン含有前駆体堆積ステップおよび酸素プラズマ処置ステップは基板に対して同時に実施されて、望ましい物理特性および電荷含有特性を有する層が形成される。この組合せプロセスステップでは、約50Åから約800Åの間などの所望の厚さを有する層を堆積させるのに十分な時間、高周波プラズマが処理チャンバの中に形成される間、シリコン含有前駆体および酸素含有ガスの両方が処理チャンバの処理領域の中に送出される。一例では、高周波プラズマは、OMCTS前駆体および酸素ガスがチャンバの処理領域の中に送出されている間、約13.56MHzの周波数で約3000Wから約12,000Wの間の高周波電力を送出することによって形成されうる。酸素含有ガスがチャンバに流れ込んでいる間、基板の温度は約175℃から約500℃の間の温度に維持される。
ボックス306で実施されるプロセスの別の実施形態では、界面層221の膜形成プロセスは、フッ素化または塩素化された窒化ケイ素含有膜層を堆積させることを含む。一実施形態では、プロセスは、シラン(SiH)および窒素(N)とフッ素(F)または塩素(Cl)を含む前駆体混合ガスを導入することを含む。一例では、13.56MHzの周波数で0.74W/cmの高周波電力がシャワーヘッド410に印加されて、プラズマが約9秒の期間発生する間、シラン、アンモニア、フッ素、および水素を含有する混合処理ガスの各流量は、チャンバ容積1リットル当たりでそれぞれ3.5sccm、50sccm、5sccm、および80sccmとして1.5トールのチャンバ圧力を実現できる。基板支持体430の温度は一般に、この処理ステップの間中、約390℃の温度に維持される。
ボックス306で実施されるプロセスの別の実施形態では、界面層221の膜形成プロセスは、高速熱酸化プロセスを用いて酸化ケイ素含有膜層を堆積させることを含む。一実施形態では、プロセスは、酸素含有ガスを導入し、約800℃を超える温度まで基板を約0.5秒間から約5秒間加熱することを含む。一実施形態では、形成された酸化ケイ素をフッ素または塩素(例えば、約1原子%フッ素)でドープするプロセスの間中、フッ素(F)または塩素(Cl)含有ガスが酸素含有ガスに付加される。一例では、酸化ケイ素膜が高速熱酸化プロセスによって形成され、このプロセスでは、高速で基板表面を加熱するためにランプ(1つまたは複数)を使用して酸化層を形成する。一例では、シリコン基板の高速熱酸化は、酸素ガスおよび水素ガス(例えば、O+H)を例えば、約0.5〜50トールの間のプロセス圧力および混合を実現するのに十分な高い流量で流して、基板の表面にわたって約1〜13%のH濃度を形成することを含む。この例では、上で論じた所望の厚さのSiO誘電体膜を形成するために、処理温度は約5〜90秒の間で約800〜1000℃の間になりうる。
ボックス306で実施されるプロセスの別の実施形態では、界面層221の膜形成プロセスは、PECVD(プラズマ化学気相堆積)堆積プロセスを用いて酸化ケイ素含有膜を堆積させることを含む。一例では、界面層221は、TEOSを185sccmの流量で、ヘリウムを50sccmの流量で、酸素を3500sccmの流量で流して約0.85トールの圧力を実現することによって、かつ13.56MHzで1150Wの高周波電力を供給し、430℃の基板支持体温度を与えることによって形成される。一実施形態では、PECVD(プラズマ化学気相堆積)プロセスは、フッ素(F)または塩素(Cl)含有ガスを導入して、形成された酸化ケイ素膜をある百分率のフッ素または塩素(例えば、約1原子%フッ素)でドープすることを含みうる。
ボックス306で実施されるプロセスの別の実施形態では、界面層221の膜形成プロセスは、PECVD(プラズマ化学気相堆積)堆積プロセスを用いて酸化アルミニウム含有膜を堆積させることを含む。一例では、界面層221は、トリメチルアルミニウム(TMA)をチャンバ容積1リットル当たり20sccmの流量で流し、酸素所有ガス(例えば、酸素(O)または酸化窒素NO)をチャンバ容積1リットル当たり50sccmの流量で流して約500ミリトールから約10トールの圧力を実現することによって、かつ13.56MHzで約2000Wから約12,000Wの高周波電力を供給し、約175℃から約500℃の間に基板支持体温度を維持することによって形成される。堆積された酸化アルミニウム膜中のアルミニウムと酸素の結合構造により、形成される層内の捕獲負電荷は、温度、処理圧力、および高周波プラズマ出力を調整することによって変えることができる。
次に、ボックス308で、基板を反応性ガス含有高周波プラズマに曝す。この高周波プラズマは、多層水素化SiN膜などのバルク層222を、基板210上に形成された界面層221の上に形成するために使用される。図6は、ボックス308で太陽電池基板210の上に堆積されるパッシベーション層を形成するために用いられる例示的なプロセスシーケンス600を図示する。プロセスシーケンス600の一実施形態では、ボックス602で、基板210が、処理システム500の処理チャンバ531〜537のうちの別のものの中に、あるいは前のステップの1つ以上を形成するために使用された同じ処理チャンバ内に位置付けられた後に、混合処理ガスをチャンバに流し込む。混合処理ガスは、前駆体混合ガスおよび水素ガス(H)希釈剤を含む。水素ガス希釈剤は、前駆体混合ガスの流量のおよそ2倍もの高い流量を有しうる。前駆体混合ガスは、シラン(SiH)と窒素(N)、シランとアンモニア(NH)、またはシランとアンモニアと窒素の組合せでありうる。一例では、シラン、アンモニアおよび水素を含有する混合処理ガスの各流量は、チャンバ容積1リットル当たりそれぞれ3.5sccm、50sccm、および80sccmでありうる。シラン、アンモニア、窒素および水素を含有する混合処理ガスの各流量は、チャンバ容積1リットル当たりそれぞれ5sccm、16sccm、40sccm、および80sccmでありうる。基板支持体430の温度は一般に、この処理ステップの間中、約390℃の温度に維持される。
次に、ボックス604で、プラズマを処理チャンバ内で発生させてSiN層を基板210上に堆積させるが、このSiN層は、太陽電池のARCとパッシベーションの組合せ層として使用するのに適している。つまり、そのように堆積されたSiN層は、約2.6から2.8g/cmの間の質量密度、約2.0から2.2の間の屈折率、および約5原子パーセントから15原子パーセントの間の水素濃度を有する。一実施形態では、第1の混合処理ガスが処理容積406へ送出される間、1.5トールのチャンバ圧力をチャンバ内で維持することができ、13.56MHzの周波数で0.74W/cmの高周波電力強度を処理チャンバ410のシャワーヘッドに印加して、プラズマを約9秒の期間発生させる。
次に、ボックス606で、第1の混合処理ガスの流れを止め、第2の混合処理ガスをチャンバの中に送出する。一例では、第2の混合処理ガスは、チャンバ容積1リットル当たり5.5sccmのシラン(SiH)、16sccmのアンモニア(NH)、および40sccmの窒素(N)を含有しうる。一実施形態では、第2の混合処理ガスが処理チャンバの中に導入される前に、ボックス604で実施された処理中に作り出されたプラズマは処理チャンバの中で消され、第1の混合処理ガスの流れが止められる。一実施形態では、ボックス606で実施される処理「中断」は、約2秒間続く。この場合、第1の混合処理ガスは、第2の混合処理ガスがチャンバに流れ込む前に、チャンバからほぼパージされうる。基板支持体430の温度は一般に、約390℃の温度に維持される。
最後に、ボックス608で、バルクSiN層を界面層の上に堆積して、二重スタックSiN ARC/パッシベーション層を基板210の上に形成する。このようにして、SiNパッシベーション層の大部分は、実質的により速いプロセスによって、太陽電池パッシベーションの品質に影響を及ぼすことなく堆積することができる。第2の混合処理ガスがチャンバに導入される前にチャンバ内でプラズマが消された場合には、バルクSiN層の堆積を可能にするためにプラズマが再点火される。プロセス608の一実施形態では、第2の混合処理ガスが処理容積406へ送出される間、1.5トールのチャンバ圧力を処理チャンバ内で維持することができ、13.56MHzの周波数で0.74W/cm高周波電力強度を処理チャンバ400のシャワーヘッド410に印加して、プラズマを約15秒の期間発生させる。
上記は、本発明の実施形態を対象としているが、本発明の基本的な範囲から逸脱することなく、本発明のその他のさらなる実施形態を考案することができ、また、本発明の範囲は、添付の特許請求の範囲によって決定される。
JP2013502606A 2010-03-30 2011-03-10 p型拡散層の上に負荷電パッシベーション層を形成する方法 Pending JP2013524510A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31914110P 2010-03-30 2010-03-30
US61/319,141 2010-03-30
PCT/US2011/027914 WO2011126660A2 (en) 2010-03-30 2011-03-10 Method of forming a negatively charged passivation layer over a diffused p-type region

Publications (2)

Publication Number Publication Date
JP2013524510A JP2013524510A (ja) 2013-06-17
JP2013524510A5 true JP2013524510A5 (ja) 2014-04-24

Family

ID=44708209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013502606A Pending JP2013524510A (ja) 2010-03-30 2011-03-10 p型拡散層の上に負荷電パッシベーション層を形成する方法

Country Status (6)

Country Link
US (1) US20110240114A1 (ja)
JP (1) JP2013524510A (ja)
CN (1) CN102834930A (ja)
DE (1) DE112011101134T5 (ja)
TW (1) TW201143125A (ja)
WO (1) WO2011126660A2 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI390756B (zh) * 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
WO2010068331A1 (en) 2008-12-10 2010-06-17 Applied Materials, Inc. Enhanced vision system for screen printing pattern alignment
US9202960B2 (en) * 2010-03-30 2015-12-01 Sunpower Corporation Leakage pathway layer for solar cell
WO2013123225A1 (en) * 2012-02-17 2013-08-22 Applied Materials, Inc. Passivation film stack for silicon-based solar cells
DE102012101456A1 (de) 2012-02-23 2013-08-29 Schott Solar Ag Verfahren zum Herstellen einer Solarzelle
CN102623558B (zh) * 2012-03-27 2014-07-16 山东力诺太阳能电力股份有限公司 酸法后制绒无死层发射极的制备工艺
CN103578904B (zh) * 2012-07-18 2016-05-25 中微半导体设备(上海)有限公司 一种用于多腔室等离子处理装置的减少颗粒污染的方法
EP2701204B1 (en) * 2012-08-24 2021-02-24 Industrial Technology Research Institute Solar cell module
TWI474488B (zh) * 2012-09-21 2015-02-21 Ind Tech Res Inst 太陽能電池
CN103050553B (zh) * 2012-12-29 2015-06-24 中国科学院沈阳科学仪器股份有限公司 一种双面钝化晶硅太阳能电池及其制备方法
KR101631450B1 (ko) * 2013-03-05 2016-06-17 엘지전자 주식회사 태양 전지
US9559222B2 (en) * 2013-08-14 2017-01-31 Arizona Board Of Regents On Behalf Of Arizona State University Method and tool to reverse the charges in anti-reflection films used for solar cell applications
WO2015060012A1 (ja) * 2013-10-25 2015-04-30 シャープ株式会社 光電変換素子
JP2016539514A (ja) * 2013-11-04 2016-12-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化物−ケイ素スタックのための付着性の改善
CN103746009A (zh) * 2014-01-23 2014-04-23 通用光伏能源(烟台)有限公司 一种太阳能电池的钝化层及其制备工艺
WO2015130261A1 (en) * 2014-02-25 2015-09-03 Empire Technology Development Llc Silicon chip with refractive index gradient for optical communication
KR101929443B1 (ko) * 2014-04-29 2019-03-14 엘지전자 주식회사 반도체 화합물 태양 전지
CN104064623B (zh) * 2014-05-27 2017-03-29 中国科学院电工研究所 一种提升太阳电池转换效率的后处理方法
CN104037245B (zh) * 2014-07-01 2017-11-10 中国科学院宁波材料技术与工程研究所 具有带负电荷抗反射层的太阳电池及其制法
KR101541252B1 (ko) * 2014-10-13 2015-08-04 한양대학교 에리카산학협력단 태양 전지 및 그 제조 방법
CN104362240B (zh) * 2014-10-31 2017-10-20 广东德力光电有限公司 一种LED芯片的Al2O3/SiON钝化层结构及其生长方法
US9443865B2 (en) 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
DE102015226516B4 (de) * 2015-12-22 2018-02-22 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Verfahren zur Dotierung von Halbleitersubstraten mittels eines Co-Diffusionsprozesses
CN107452830B (zh) * 2016-05-31 2019-07-26 比亚迪股份有限公司 一种背钝化太阳能电池及其制备方法
US9953839B2 (en) * 2016-08-18 2018-04-24 International Business Machines Corporation Gate-stack structure with a diffusion barrier material
JP2018041836A (ja) * 2016-09-07 2018-03-15 キヤノン株式会社 固体撮像装置およびその製造方法ならびにカメラ
CN107293614A (zh) * 2017-05-10 2017-10-24 东方环晟光伏(江苏)有限公司 电池片生成热氧化钝化层的方法
CN113056807B (zh) * 2018-11-30 2024-03-22 应用材料公司 用于三维与非(3d nand)应用的膜堆叠覆盖改进
CN110246905B (zh) * 2019-05-31 2024-05-07 苏州腾晖光伏技术有限公司 一种硅太阳能电池及其制备方法
CN110148637A (zh) * 2019-06-02 2019-08-20 苏州腾晖光伏技术有限公司 一种太阳能电池减反射膜结构
CN112349792B (zh) * 2020-11-06 2023-01-31 浙江师范大学 一种单晶硅钝化接触结构及其制备方法
CN112563342A (zh) * 2020-12-04 2021-03-26 浙江晶科能源有限公司 一种光伏电池的钝化层结构、其制备方法及光伏电池
CN112713203A (zh) * 2021-01-19 2021-04-27 天合光能股份有限公司 一种新型太阳能电池叠层钝化结构

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US6825133B2 (en) * 2003-01-22 2004-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Use of fluorine implantation to form a charge balanced nitrided gate dielectric layer
JP2004193350A (ja) * 2002-12-11 2004-07-08 Sharp Corp 太陽電池セルおよびその製造方法
US7659475B2 (en) * 2003-06-20 2010-02-09 Imec Method for backside surface passivation of solar cells and solar cells with such passivation
US20050181535A1 (en) * 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7432175B2 (en) * 2005-01-07 2008-10-07 Huffaker Diana L Quantum dots nucleation layer of lattice mismatched epitaxy
US7554031B2 (en) * 2005-03-03 2009-06-30 Sunpower Corporation Preventing harmful polarization of solar cells
JP2006332510A (ja) * 2005-05-30 2006-12-07 Kyocera Corp 太陽電池素子の製造方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP5347228B2 (ja) * 2007-03-05 2013-11-20 日本電気株式会社 電界効果トランジスタ
AU2008229050A1 (en) * 2007-03-16 2008-09-25 Bp Corporation North America Inc. Solar cells
DE102007054384A1 (de) * 2007-11-14 2009-05-20 Institut Für Solarenergieforschung Gmbh Verfahren zum Herstellen einer Solarzelle mit einer oberflächenpassivierenden Dielektrikumdoppelschicht und entsprechende Solarzelle
TW200929575A (en) * 2007-12-28 2009-07-01 Ind Tech Res Inst A passivation layer structure of the solar cell and the method of the fabricating
RU2476959C2 (ru) * 2008-01-23 2013-02-27 Солвей Флуор Гмбх Способ изготовления солнечных элементов
WO2011033826A1 (ja) * 2009-09-18 2011-03-24 信越化学工業株式会社 太陽電池、その製造方法及び太陽電池モジュール
US8603900B2 (en) * 2009-10-27 2013-12-10 Varian Semiconductor Equipment Associates, Inc. Reducing surface recombination and enhancing light trapping in solar cells
US8008208B2 (en) * 2009-12-07 2011-08-30 Applied Materials, Inc. Method of cleaning and forming a negatively charged passivation layer over a doped region

Similar Documents

Publication Publication Date Title
JP2013524510A5 (ja)
US20110240114A1 (en) Method of forming a negatively charged passivation layer over a diffused p-type region
US8268728B2 (en) Method of cleaning and forming a negatively charged passivation layer over a doped region
US20110272008A1 (en) Oxide nitride stack for backside reflector of solar cell
US20130247972A1 (en) Passivation film stack for silicon-based solar cells
US20130186464A1 (en) Buffer layer for improving the performance and stability of surface passivation of silicon solar cells
US8203071B2 (en) Multi-junction solar cells and methods and apparatuses for forming the same
US8247022B2 (en) Silicon nitride passivation for a solar cell
KR101019273B1 (ko) 다중-접합 태양 전지들과 이를 형성하기 위한 방법들 및 장치들
US7741144B2 (en) Plasma treatment between deposition processes
US20110272024A1 (en) MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
KR20100031090A (ko) 태양 전지 분야용 웨이퍼 및 박막을 위한 미세결정질 실리콘 합금
KR20110101227A (ko) 태양 전지 적용을 위한 실리콘 표면의 건식 세정
KR20080033955A (ko) 반도체 구조물, 광기전력 디바이스 및 제조 방법과 솔러모듈
WO2010046284A1 (en) Semiconductor device manufacturing method, semiconductor device and semiconductor device manufacturing installation
US20110294256A1 (en) Film-forming method for forming passivation film and manufacturing method for solar cell element
US20110275200A1 (en) Methods of dynamically controlling film microstructure formed in a microcrystalline layer