JP2010506428A - フッ素除去プロセス - Google Patents

フッ素除去プロセス Download PDF

Info

Publication number
JP2010506428A
JP2010506428A JP2009532507A JP2009532507A JP2010506428A JP 2010506428 A JP2010506428 A JP 2010506428A JP 2009532507 A JP2009532507 A JP 2009532507A JP 2009532507 A JP2009532507 A JP 2009532507A JP 2010506428 A JP2010506428 A JP 2010506428A
Authority
JP
Japan
Prior art keywords
deposition
layer
photoresist
gas
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009532507A
Other languages
English (en)
Other versions
JP5081917B2 (ja
Inventor
ヘオ・ドンホ
キム・ジソー
サドジャディ・エス.エム.・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010506428A publication Critical patent/JP2010506428A/ja
Application granted granted Critical
Publication of JP5081917B2 publication Critical patent/JP5081917B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Abstract

【課題】フッ素除去プロセス
【解決手段】層内に構成が提供される。層の上にフォトレジスト層が形成される。フォトレジスト層は、フォトレジスト側壁をともなうフォトレジスト構成を形成するためにパターン形成され、フォトレジスト構成は、第1の微小寸法を有する。フォトレジスト構成の微小寸法を小さくするために、フォトレジスト構成の側壁の上にフッ素含有共形層が堆積される。フッ素が共形層から除去される一方で、残りの共形層は所定位置に残される。層内へと構成がエッチングされ、これらの層構成は、第1の微小寸法より小さい第2の微小寸法を有する。
【選択図】図2

Description

本発明は、半導体デバイスの形成に関するものである。
半導体ウエハ処理では、周知のパターン形成プロセスおよびエッチングプロセスを使用して、ウエハ内に半導体デバイスの構成が画定される。これらのプロセスでは、ウエハ上にフォトレジスト(PR)材料が堆積(デポジション)され、次いで、レチクルによるフィルタリングを経た光に曝される。レチクルは、一般に、光の伝搬を阻む典型的な構成形状をパターン形成されたガラス板である。
レチクルを通過した後、光は、フォトレジスト材料の表面に達する。光は、現像液によるフォトレジスト材料の部分的除去が可能になるように、フォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合は、露光領域が除去され、ネガ型フォトレジスト材料の場合は、非露光領域が除去される。その後、ウエハは、フォトレジスト材料によって保護されなくなった領域から下位の材料を除去するためにエッチングされ、そうして、ウエハ内に所望の構成を画定する。
様々な世代のフォトレジストが知られている。深紫外線(DUV)フォトレジストは、248nmの光によって露光される。理解を促すため、図1Aは、基板104の上の層108であって、該エッチング対象層108の上のARL(反射防止層)110の上にパターン形成フォトレジスト層112をともなってスタック100を形成する層108の概略断面図である。フォトレジストのパターンは、微小寸法(CD)を有しており、これは、最小構成の幅116であってよい。現時点において、248nmフォトレジストの場合、従来のプロセスを使用したその代表的CDは、230〜250nmであり得る。波長に依存する光学特性ゆえに、より長い波長の光で露光されたフォトレジストほど、より大きな理論的最小微小寸法を有する。
構成120は、次いで、図1Bに示されるように、フォトレジストパターンを通してエッチングされてよい。理想を言えば、構成のCD(構成の幅)は、フォトレジスト112内の構成のCD116に等しい。実際は、構成116のCDは、ファセッティング、フォトレジストの浸食(エロージョン)、またはアンダカットゆえに、フォトレジストのCDより大きくなり得る。構成は、また、先細ることもあり、この場合の構成のCDは、少なくともフォトレジストのCDと同程度に大きいが、構成の底部近くでは先細って幅狭になる。このような先細りは、構成の信頼性を低下させ得る。
より小さいCDの構成を提供するために、より短い波長の光を使用した構成の形成が追求されている。193nmフォトレジストは、193nmの光で露光される。位相シフトレチクルおよびその他の技術を使用すれば、193nmフォトレジストを使用して、CDが90〜100nmのフォトレジストパターンが形成され得る。これは、CDが90〜100nmの構成を提供できるであろう。157nmフォトレジストは、157nmの光で露光される。位相シフトレチクルおよびその他の技術を使用すれば、CDが90nm以下のフォトレジストパターンが形成され得る。これは、CDが90nm以下の構成を提供できるであろう。
より短い波長のフォトレジストの使用は、より長い波長を使用するフォトレジストの場合より、多くの問題を生じ得る。理論的限界に近いCDを得るには、リソグラフィ装置がさらに正確であることが望ましく、これは、さらに高価なリソグラフィ機器を必要とするであろう。現時点において、193nmフォトレジストおよび157nmフォトレジストは、さらに長い波長のフォトレジストのように高い選択性を有しておらず、プラズマエッチング条件下で、より容易に変形し得る。
メモリデバイスの形成などにおける導電層のエッチングにおいて、パフォーマンスを損なうことなくデバイス密度を大きくすることが望まれている。
以上を実現するため、そして本発明の目的にしたがって、層内に構成を形成するための方法が提供される。層の上にフォトレジスト層が形成される。フォトレジスト層は、フォトレジスト側壁をともなうフォトレジスト構成を形成するためにパターン形成され、フォトレジスト構成は、第1の微小寸法を有する。フォトレジスト構成の微小寸法を小さくするために、フォトレジスト構成の側壁の上にフッ素含有共形層が堆積される。共形層に含まれるフッ素が除去される一方で、残りの材料は所定位置に残される。層内へと構成がエッチングされ、これらの層構成は、第1の微小寸法より小さい第2の微小寸法を有する。
本発明の別の実施形態では、層内に構成を形成するための方法が提供される。層の上にフォトレジスト層が形成される。フォトレジスト層は、フォトレジスト側壁をともなうフォトレジスト構成を形成するためにパターン形成され、フォトレジスト構成は、第1の微小寸法を有する。フォトレジスト構成の微小寸法を小さくするために、フォトレジスト構成の側壁の上にフッ素含有層が堆積される。フォトレジスト構成の側壁の上に層を堆積することは、第1の堆積プラズマを発生させるための第1のガス化学特性による第1の堆積と、第2の堆積プラズマを発生させるための第2のガス化学特性による第2の堆積とを含み、第1のガス化学特性は、第2のガス化学特性と異なる。堆積層内に含まれるフッ素が除去される一方で、残りの堆積層は所定位置に残される。層内へと構成がエッチングされ、これらの層構成は、第1の微小寸法の70%以下である第2の微小寸法を有する。
本発明の別の実施形態では、層内に構成を形成するための装置が提供される。層は、基板によって支えられ、第1のCDを有するフォトレジスト構成をともなうフォトレジストマスクによって覆われる。プラズマ処理チャンバは、プラズマ処理チャンバの外周壁を形成するチャンバ壁と、プラズマ処理チャンバの外周壁内で基板を支えるための基板サポートと、プラズマ処理チャンバの外周壁内の圧力を調整するための圧力制御部と、プラズマを維持するためにプラズマ処理チャンバの外周壁に電力を供給するための少なくとも1つの電極と、プラズマ処理チャンバの外周壁にガスを供給するためのガス入口と、プラズマ処理チャンバの外周壁からガスを排出するためのガス出口とを含む。ガス入口と流体接続しているガス源は、第1の堆積ガス源と、第2の堆積ガス源と、フッ素除去ガス源と、エッチャントガス源とを含む。ガス源および少なくとも1つの電極に可制御式に接続されるコントローラは、少なくとも1つのプロセッサと、コンピュータ可読媒体とを含む。コンピュータ可読媒体は、フォトレジストマスク上にフッ素含有側壁の堆積を形成して第2のCDを有する構成をフォトレジスト構成内に形成するために少なくとも3つの堆積サイクルを提供するためのコンピュータ可読コードと、側壁の堆積内に含有されるフッ素を除去するためのコンピュータ可読コードと、少なくとも3つの堆積サイクルが完了された後にエッチャントガス源からプラズマ処理チャンバへのエッチャントガスの流れを提供するためのコンピュータ可読コードと、エッチャントガスを使用して第3のCDを有する構成を層内にエッチングするためのコンピュータ可読コードとを含む。フォトレジストマスク上に側壁の堆積を形成して第2のCDを有する構成をフォトレジスト構成内に形成するために少なくとも3つの堆積サイクルを提供するためのコンピュータ可読コードは、第1の堆積ガス源からプラズマ処理チャンバの外周壁への第1の堆積ガスの流れを提供するためのコンピュータ可読コードと、第1の堆積ガス源からプラズマ処理チャンバの外周壁への第1の堆積ガスの流れを停止するためのコンピュータ可読コードと、第1の堆積ガスの流れが停止された後に第2の堆積ガス源からプラズマ処理チャンバの外周壁への第2の堆積ガスの流れを提供するためのコンピュータ可読コードと、第2の堆積ガス源からプラズマ処理チャンバの外周壁への第2の堆積ガスの流れを停止するためのコンピュータ可読コードとを含む。
本発明の別の実施形態では、複数の導電線を形成する方法が提供される。基板の上に導電層が配される。マスクが形成され、マスクは、マスクスペースで隔てられた複数のマスク線を画定し、マスクスペースは幅を有し、マスク線は幅および側壁を有する。マスクの側壁の上に、フッ素含有共形層が堆積される。共形層内に含有されるフッ素が除去される一方で、残りの共形層は所定位置に残される。導電層は、導電線および導電線間のスペースを形成するためにマスクを通してエッチングされ、導電線は幅を有し、導電線間のスペースは幅を有し、導電線間のスペースの幅はマスクスペースの幅より小さく、導電線の幅は線マスクの幅より大きい。
本発明のこれらの特徴およびその他の特徴は、本発明の詳細な説明において、添付の図面と関連させながら、より詳しく後述される。
先行技術にしたがってエッチングされるスタックの概略断面図である。 先行技術にしたがってエッチングされるスタックの概略断面図である。 本発明の一実施形態において使用され得るプロセスの上位のフローチャートである。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 本発明の一実施形態にしたがって処理されるスタックの概略断面図である。 CDを小さくするためにフォトレジスト構成の側壁の上に層を堆積するステップの、より詳細なフローチャートである。 本発明を実施するために使用され得るプラズマ処理チャンバの概略図である。 堆積層全体に対して第1の堆積段階による堆積のみが使用された場合の堆積層の概略断面図である。 堆積層全体に対して第2の堆積段階による堆積のみが使用された場合の堆積層の概略断面図である。 本発明の実施形態において使用されるコントローラを実装するのに適したコンピュータシステムを図示している。 本発明の実施形態において使用されるコントローラを実装するのに適したコンピュータシステムを図示している。 先行技術にしたがって導電線を作成するためのフォトレジストマスクの断面図である。 先行技術にしたがって導電線を作成するためのフォトレジストマスクの断面図である。 先行技術にしたがって導電線を作成するためのフォトレジストマスクの断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 エッチングステップのフローチャートである。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 本発明の一実施形態にしたがって処理される導電層の概略断面図である。 導電層をエッチングするために本発明の実施のために使用され得るデバイスの概略図である。
本発明は、添付の図面において、限定ではなく例として示されている。図中、類似の参照符号は、類似の要素を示すものとする。
本発明は、添付の図面に示されたいくつかの好ましい実施形態を参照にして詳細に説明される。以下の説明では、本発明の完全な理解を可能にするために、多くの詳細が特定されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部または全部の詳細を特定しなくても実施可能である。また、本発明を不必要に不明瞭にするのを避けるため、周知のプロセスステップおよび/または構造についての詳細な説明は省略される。
本発明は、小さい微小寸法(CD)を有する構成を提供する。より具体的には、本発明は、構成をエッチングするために使用されるフォトレジストパターンのCDより小さいCDを有する構成を提供する。
理解を容易にするため、図2は、本発明の一実施形態において使用され得るプロセスの上位のフローチャートである。パターン形成フォトレジストマスクが提供される(ステップ204)。図3Aは、基板304の上のエッチング対象層308であって、該エッチング対象層308の上のARL310の上に、構成314を有するパターン形成フォトレジストマスク312をともなってスタック300を形成するエッチング対象層308の概略断面図である。フォトレジストマスクは、フォトレジスト構成微小寸法(CD)を有しており、これは、考え得る最小の構成の幅316の最も広い部分であってよい。現時点において、248nmフォトレジストの場合、従来のプロセスを使用したその代表的CDは、230〜250nmであり得る。
次いで、CDを小さくするために、フォトレジスト構成の側壁の上に層が堆積(デポジション)される(ステップ208)。図3Bは、構成314の側壁の上にフッ素含有層320を堆積されたパターン形成フォトレジストマスク312の概略断面図である。フォトレジストマスク312の側壁の上にフッ素含有堆積層320を形成するには、様々なタイプの材料が使用され得る。好ましい選択肢の1つは、(CH3Fガスをともなう)フルオロカーボンポリマなどのフッ素含有ポリマを堆積させる、フッ素ベースの化学的性質を使用することである。フッ素含有堆積層320は、フッ素分子350およびその他の材料を含有している。
フッ素含有堆積層320は、フォトレジスト構成314内に堆積層構成322を形成し、堆積層構成322は、フォトレジスト構成314のCD316より小さい低減CD324を有する。好ましくは、堆積層構成322の低減CD324は、フォトレジスト構成のCD316より少なくとも30%小さい(すなわち、フォトレジスト構成のCD316の70%以下である)。さらに好ましくは、堆積層構成322の低減CD324は、フォトレジスト構成のCD316より少なくとも40%小さい(すなわち、フォトレジスト構成のCD316の60%以下である)。最も好ましくは、堆積層構成322の低減CD324は、フォトレジスト構成のCD316より少なくとも50%小さい(すなわち、フォトレジスト構成のCD316の50%以下である)。例えば、堆積層構成は、フォトレジスト構成のCD316より99%小さい低減CD316を有してよい。また、堆積層構成322は、図に示されるように高度に共形である実質的に垂直な側壁328を有することが望ましい。実質的に垂直な側壁の一例は、構成の底部に対し、底部から上部にかけて88°〜90°の角度をなす側壁である。共形側壁は、構成の上部から底部にかけて実質同じ厚さを有する堆積層を有する。非共形側壁は、ファセッティング(切り子面)形状またはブレッドローフィング形状を形成することがあり、これは、実質的に垂直でない側壁を提供し得る。(ファセッティングの形成による)先細側壁またはブレッドローフィング側壁は、堆積層のCDを大きくし、エッチングマスクを不良にし得る。好ましくは、側壁上の堆積は、フォトレジスト構成の底部上の堆積より厚い。さらに好ましくは、フォトレジスト構成の底部の上には、層が堆積されない。
フッ素含有ポリマを使用してフッ素含有層320が形成されると、フッ素含有ポリマ内のフッ素350の存在ゆえに、後続のエッチングプロセスでは、フッ素含有ポリマとエッチングに使用されるガスとの間の化学反応によってポリマの除去の度合いが増す。したがって、フッ素含有堆積層320に含まれるフッ素350が後続のエッチングプロセスを妨害しないように阻止するには、エッチングステップの前に、堆積共形層320からフッ素350を除去することが望ましい。
堆積層320に含まれるフッ素が除去される(ステップ210)。図3Cは、堆積層320からのフッ素除去の概略断面図である。本発明の一実施形態において、フッ素含有ポリマを使用してフッ素含有堆積層320が形成された場合は、堆積層320に対して窒素/酸素プラズマ処理を施すことによって、堆積層320から先ずフッ素350が除去される。これは、フッ素除去(DF)プロセスと称される。
本発明の一実施形態では、窒素/酸素ガスの組み合わせが帯電されて、窒素/酸素プラズマになる。窒素/酸素プラズマは、プラズマチャンバに閉じ込められる。堆積層320を形成するフッ素含有ポリマは、次いで、窒素/酸素プラズマによって処理される。これは、窒素/酸素プラズマとフッ素含有ポリマとの間に、プラズマによって推進される化学反応をもたらす。化学反応の効果の1つは、フッ素含有ポリマ内のフッ素分子350が、残りのポリマをなおも所定位置に残しつつ解放され、そうして、堆積層320を形成するポリマから除去されることにある。
窒素/酸素ガスの組み合わせについて、本発明の一実施形態では、窒素対酸素の比は、好ましくは、体積にして窒素10対酸素1(10:1)から窒素1対酸素10(1:10)までの範囲内であることが望ましい。より好ましくは、窒素対酸素の比は、窒素3対酸素1(3:1)から窒素1対酸素1(1:1)までの範囲内であることが望ましい。最も好ましくは、窒素対酸素の比は、窒素2対酸素2(2:1)であることが望ましい。本発明の別の一実施形態では、酸素ガスより窒素ガスのほうが多いことが望ましい。
本発明の一実施形態では、窒素ガスは、50立方センチメートル毎分(sccm)の流量でプラズマチャンバに流れ込み、酸素ガスは、150sccmの流量でプラズマチャンバに流れ込む。
フッ素除去プロセスが生じるプラズマチャンバについて、チャンバ圧力は、好ましくは、100ミリトール(mT)未満であることが望ましい。より好ましくは、チャンバ圧力は、30mTであることが望ましい。好ましくは、RF電力は、50ワットから1000ワットまでの間であることが望ましい。より好ましくは、RF電力は、27メガヘルツ(MHz)プラズマ周波数の500ワットであることが望ましい。好ましくは、温度は、摂氏−50度(℃)から140℃までの間であることが望ましい。好ましくは、プラズマ処理は、10秒間継続することが望ましい。
フッ素含有堆積層320からフッ素が除去された後、次いで、堆積層構成322を通してエッチング対象層308内へと構成がエッチングされる(ステップ212)。図3Dは、エッチング対象層308内へと構成332がエッチングされた様子を示している。この例では、エッチング対象層308内へとエッチングされた構成332は、堆積層構成322のCD324に等しいCD336を有する。実際は、構成332のCD336は、堆積層320の構成322のCD324よりわずかに大きいことがある。しかしながら、堆積層構成322のCD324は、フォトレジスト312のCD316より大幅に小さいので、エッチング対象層308内の構成332のCD336は、依然として、フォトレジスト312のCD316より小さい。堆積層のCD324がフォトレジストのCDよりわずかに小さいに過ぎなかった場合、または堆積層がファセッティング形状またはブレッドローフィング形状とされた場合は、エッチング対象層のCDは、フォトレジストのCDより小さくならない可能性がある。また、ファセッティング形状またはブレッドローフィング形状とされた堆積層は、エッチング対象層内にファセット構成または不ぞろいな構成を生じ得る。また、フォトレジスト構成の底部上の堆積を最小限に抑えることも望まれている。好ましくは、エッチング対象層308内にエッチングされる構成332のCD336は、フォトレジスト構成のCD316より少なくとも30%小さい。より好ましくは、エッチング対象層308内にエッチングされる構成332のCD336は、フォトレジスト構成のCD316より少なくとも40%小さい。最も好ましくは、エッチング対象層308内にエッチングされる構成332のCD336は、フォトレジスト構成のCD316より少なくとも50%小さい。
フォトレジスト層および堆積層は、次いで、剥離される(ステップ216)。これは、単一のステップによってなされても良いし、あるいは、堆積層除去ステップおよびフォトレジスト剥離ステップの2つの別々のステップによってなされても良い。剥離プロセスには、アッシングが使用されてよい。図3Eは、堆積層およびフォトレジストマスクを除去された後におけるスタック300を示している。さらなる形成ステップが実施されてよい(ステップ220)。例えば、構成内に、次いで、コンタクト340が形成されてよい。デュアルダマシン構造を提供するには、コンタクトの形成前にトレンチがエッチングされてよい。コンタクトの形成後には、さらなるプロセスが実施されてよい。
図4は、CDを小さくするためにフォトレジスト構成の上に層を堆積(デポジション)するステップ208の、より詳細なフローチャートである。この実施形態では、CDを小さくするためにフォトレジスト構成の上に層を堆積するステップ208は、第1の堆積段階404と第2の堆積段階408とを含む。
誘電体エッチングの例
図5は、層の堆積、フッ素除去、エッチング、および剥離に使用され得るプラズマ処理チャンバ500の概略図である。プラズマ処理チャンバ500は、閉じ込めリング502と、上部電極504と、下部電極508と、ガス源510と、排出ポンプ520とを含む。ガス源510は、第1の堆積ガス源512と、第2の堆積ガス源516とを含む。本発明の一実施形態では、ガス源510は、フッ素除去ガス源514およびエッチングガス源518などのさらなるガス源を含んでよい。本発明の別の一実施形態では、層の堆積、フッ素除去、エッチング、および剥離のために、別々のプラズマ処理チャンバが使用される。
プラズマ処理チャンバ500内において、基板304は、下部電極508の上に配置される。下部電極508は、基板304を保持するための適切な基板チャックメカニズム(例えば静電的クランプ、機械的クランプなど)を組み入れている。リアクタトップ528は、下部電極508の真正面に配された上部電極504を組み入れている。上部電極504と、下部電極508と、閉じ込めリング502とは、閉じ込めプラズマ体積を規定する。ガスが、ガス源510によって閉じ込めプラズマ体積に供給され、排出ポンプ520によって閉じ込めリング502および排出口を通って閉じ込めプラズマ体積から排出される。上部電極504には、第1のRF源544が電気的に接続される。下部電極508には、第2のRF源548が電気的に接続される。チャンバ壁552は、閉じ込めリング502、上部電極504、および下部電極508を取り囲む。第1のRF源544および第2のRF源548は、ともに、27MHz電源および2MHz電源を含んでよい。電極に対するRF電源の接続は、異なる組み合わせが可能である。本発明の好ましい一実施形態において使用されてよくなおかつ基本的にチャンバにTurbo Pumpを取り付けられたExelan HPと同じであるカリフォルニア州フリーモントのLAM Research Corporation(商標)によって生産されるExelan HPT(商標)の場合、27MHz電源および2MHz電源は、ともに、下部電極に接続される第2のRF電源548を構成し、上部電極は、接地される。RF電源544,548、排出ポンプ520、およびガス源510には、可制御式にコントローラ535が接続される。Exelan HPTは、エッチング対象層308がシリコン酸化物または有機ケイ酸塩ガラスなどの誘電体層である場合に使用されると考えられる。
図8Aおよび図8Bは、本発明の実施形態において使用されるコントローラ535を実装するのに適したコンピュータシステム1300を図示している。図8Aは、コンピュータシステムとして可能な1つの物理的形態を示している。もちろん、コンピュータシステムは、集積回路、プリント回路基板、および小型携帯端末から巨大スーパーコンピュータに到る多くの物理的形態をとり得る。コンピュータシステム1300は、モニタ1302、ディスプレイ1304、筐体1306、ディスクドライブ1308、キーボード1310、およびマウス1312を含む。ディスク1314は、コンピュータシステム1300との間でデータをやりとりするために使用されるコンピュータ可読媒体である。
図8Bは、コンピュータシステム1300のブロック図の一例である。システムバス1320には、種々様々なサブシステムが取り付けられる。プロセッサ1322(中央演算処理装置すなわちCPUとも称される)は、メモリ1324を含むストレージデバイスに接続される。メモリ1324は、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)を含む。当該分野において周知のように、ROMは、CPUに対してデータおよび命令を単方向的に伝送する働きをし、RAMは、一般に、データおよび命令を双方向的に伝送するために使用される。これらのメモリは、いずれのタイプも、後述される任意の適切なコンピュータ読取可能媒体を含んでよい。CPU1322には、固定ディスク1326も双方向的に接続され、これは、追加のデータストレージ容量を提供し、やはり、後述される任意のコンピュータ可読媒体を含んでよい。固定ディスク1326は、プログラムやデータなどを格納するために使用されてよく、一般に、一次ストレージより低速な二次ストレージ媒体(ハードディスクなど)である。なお、固定ディスク1326内に保持される情報は、もし適切であれば、メモリ1324内の仮想メモリとして標準的な形で組み入れ可能であることがわかる。取り外し可能ディスク1314は、後述される任意のコンピュータ可読媒体の形態をとってよい。
CPU1322は、ディスプレイ1304、キーボード1310、マウス1312、およびスピーカ1330などの様々な入出力デバイスにも接続される。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンサ式ディスプレイ、トランスデューサカード読み取り装置、磁気テープもしくは紙テープ読み取り装置、タブレット、スタイラス、音声もしくは手書き文字認識装置、バイオメトリック読み取り装置、またはその他のコンピュータのうちの任意であってよい。CPU1322は、ネットワークインターフェース1340を使用して、別のコンピュータまたは通信ネットワークに随意に接続されてよい。このようなネットワークインターフェースがあれば、CPUは、上述された方法のステップを実施する過程において、ネットワークから情報を受信し得る、またはネットワークに情報を出力し得ると考えられる。さらに、本発明の方法の実施形態は、CPU1322上のみで実行されてもよいし、または処理の一部を共有するリモートCPUと連携してインターネットなどのネットワークを通じて実行されてもよい。
また、本発明の実施形態は、さらに、様々なコンピュータ実行動作を実施するためのコンピュータコードを記録されたコンピュータ可読媒体をともなうコンピュータストレージ製品に関する。媒体およびコンピュータコードは、本発明の目的のために特別に設計および構成されたものであってもよいし、またはコンピュータソフトウェア分野の当業者にとって周知でなおかつ利用可能なものであってもよい。コンピュータ可読媒体の例は、ハードディスク、フロッピィディスク、および磁気テープなどの磁気媒体、CD−ROMおよびホログラフィックデバイスなどの光媒体、フロプティカルディスクなどの光磁気媒体、ならびに特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、ROMデバイス、およびRAMデバイスなどプログラムコードの格納および実行のために特別に構成されたハードウェアデバイスを含むが、これらに限定されない。コンピュータコードの例は、コンパイラによって生成されるなどのマシンコード、およびインタープリタを使用してコンピュータによって実行される高水準コードを含むファイルを含む。コンピュータ可読媒体は、搬送波に組み込まれたコンピュータデータ信号によって伝送されなおかつプロセッサによって実行可能な一連の命令を表すコンピュータコードであってもよい。
その他の例は、その他の堆積デバイスを使用し得る。
第1の堆積段階404の一例は、60ミリトールの圧力において250sccm(立方センチメートル毎分)のArおよび50sccmのCH3Fの化学特性を使用するCH3F堆積であってよく、ターボポンプのVATバルブを1000に設定することによって確立される。27MHzのRF源は、500ワットの電力を提供し、一方で、2MHzのRF源は、100ワットの電力を提供する。チャンバ温度は、摂氏20度に維持される。基板を冷却するためのヘリウム冷却圧力は、15トールである。
第2の堆積段階408の一例は、50ミリトールの圧力において270sccmのAr、12sccmのC46、8sccmのO2、および100sccmのCOの化学特性を使用するC46/O2/CO堆積であってよく、ターボポンプのVATバルブを1000に設定することによって確立される。27MHzのRF源は、1500ワットの電力を提供し、一方で、2MHzのRF源は、480ワットの電力を提供する。チャンバ温度は、摂氏20度に維持される。基板を冷却するためのヘリウム冷却圧力は、15トールである。
図6は、堆積層620全体に対して第1の堆積段階による堆積のみが使用された場合の堆積層620の概略断面図である。堆積層620は、基板604の上のエッチング対象層608の上のARL610の上のフォトレジストマスク612の上に形成される。フォトレジストマスク612は、構成614を形成する。この例では、第1の堆積は、「ブレッドローフィング」堆積層を形成する。ブレッドローフィング堆積層は、構成の上部近くにおける厚い側壁堆積636と、構成の底部近くにおける薄い(または無い)側壁堆積632とを構成とする。したがって、この堆積は、非共形の側壁堆積を提供する。このような堆積は、所望の実質的に垂直な側壁を提供しない。ブレッドローフィングは、最終的には上部を詰めてしまう。すると、コンタクトが閉じられ、エッチングがなされなくなるので、マスク層として使用することが不可能になる。
図7は、堆積層720全体に対して第2の堆積段階による堆積のみが使用された場合の堆積層720の概略断面図である。堆積層720は、基板704の上のエッチング対象層708の上のARL710の上のフォトレジストマスク712の上に形成される。フォトレジストマスク712は、構成714を形成する。この例では、第1の堆積は、「ファセッティング」堆積層を形成する。ファセッティング堆積層は、構成の上部近くにおける薄い(または無い)側壁堆積732と、構成の底部近くにおける厚い側壁堆積736とを構成とする。したがって、この堆積は、やはり、非共形の側壁堆積を提供する。上部近くの側壁が薄すぎると、フォトレジストマスク712のファセッティング740が結果として生じ得る。このような堆積は、所望の実質的に垂直な側壁を提供しない。フォトレジストマスクの角のファセッティングは、より低いエッチング選択性および速いマスクエロージョンを生じ得る。マスクのファセッティングは、エッチングプロファイルのファセッティングも生じ得る。マスクの垂直プロファイルは、エッチング材料へと転写されるのが通常であるので、ほとんどの場合は、マスクがファセッティングされると最終的なエッチングプロファイルもファセッティングされる。
したがって、本発明の好ましい一実施形態の一例では、上記の例の第1の堆積段階404と第2の堆積段階408とが6サイクルにわたって交互され、第1の堆積段階404は2秒間に及び、第2の堆積段階408は25秒間に及ぶ。このような堆積は、第1の堆積段階404による第1の堆積と、次いで第2の堆積段階408による第2の堆積と、次いで第1の堆積404による第3の堆積と、次いで第2の堆積308による第4の堆積とを、第12の堆積まで繰り返し有すると考えられる。
第1の堆積段階404のエッチング時間対第2の堆積段階408のエッチング時間の比を制御する能力は、別の制御変数を提供する。適切な比は、図3Bに図示されるような、実質的に垂直でなおかつ共形の側壁を提供する。このような堆積層は、フォトレジストマスクを保護してエッチング選択性を高めることもできる。堆積プロファイルを制御するために使用することができる本発明によって提供されるその他の制御パラメータは、サイクル数、堆積合計時間、堆積1の時間対堆積2の時間の比、ガス化学特性の比(CH3F/O2比またはC46/O2比など)である。CH3Fに代わるCH22またはC46に代わるC48などのその他のガス化学特性が使用されてよい。
フォトレジストを変化させることなくより小さな微小寸法の構成を形成する能力は、新しいリソグラフィ機器を購入する必要なくより小さな構成を可能にする。新世代のフォトレジストが使用される場合は、本発明は、その新世代のフォトレジストにとって小さなCDを可能にする。
その他の実施形態では、3つまたは4つ以上の異なるガス化学特性が使用され、3つまたは4つ以上の異なる堆積段階が提供されてよい。
導電層エッチングの例
金属コネクタまたはフラッシュメモリなどメモリデバイスなどにおける導電線の形成では、導電線の厚さを大きくするおよび/または導電線間のスペースのCDを小さくすることが望まれている。図9Aは、先行技術にしたがった、導電線を作成するためのフォトレジストマスクの断面図であり、線間の間隔が狭すぎる場合を示している。ウエハなどの基板1504の上には、バリア層1506が置かれてよい。バリア層1506の上には、金属層またはポリシリコン層などの導電層1508が形成される。導電層1508の上には、DARC層などの反射防止層(ARL)1510が形成される。ARL1510の上には、フォトレジストマスク1512が形成される。この例では、フォトレジストマスク1512は、間のスペース内にフォトレジスト残留物1518を形成された線マスク1514を形成する。小さいスペースから残留物を除去することは難しいので、フォトレジスト残留物1518の存在は、線マスク1514間のスペースが小さすぎることによって引き起こされる。これは、提供され得る導電線の密度を制限する恐れがある。
図9Bは、小さすぎるスペースによって生じる問題を克服しようとして先行技術において使用される、導電線を作成するための別のフォトレジストマスク1512bの断面図である。この例の線マスク1514bは、レジスト残留物を阻止するためそして先の例と同じピッチまたは密度を維持するためにより薄くされ、そうして、より広いスペース1520を可能にしている。このアプローチの欠点の1つは、線マスク1514bが薄いほど線が薄くなることにある。薄い線は、信頼性およびパフォーマンスを低下させる恐れがある。薄い線は、トランジスタ領域を狭くする恐れがあり、これは、短チャネル効果、および短チャネル効果や高ワード線抵抗(速度を低下させる)などのその他のパフォーマンス問題を生じ得る。
図9Cは、小さすぎるスペースによって生じる問題を克服しようとして先行技術において使用される、導電線を作成するための別のフォトレジストマスク1512cの断面図である。いくつかの応用では、線マスク1514cは、スペース1522と同じ幅を有することが望ましい。この例では、レジスト残留物を阻止するためにスペース1522が広くされているので、線マスク1514cもやはり広くなっている。その結果、ピッチが増して、線の密度が低減される。
線幅を広く維持しつつ線間の間隔を狭くすることによって、より密に配された導電線を提供することが望ましい。
図2に示された上位のプロセスが、本発明のこの実施形態の理解を促すために使用される。パターン形成フォトレジストマスクが提供される(ステップ204)。図10Aは、基板1604の上のバリア層1606の上の導電性エッチング対象層1608であって、該導電性エッチング対象層1608の上のARL1610の上に、マスクスペース1620のマスク線1614を形成するパターン形成フォトレジストマスク1612を有する導電性エッチング対象層1608の概略断面図である。フォトレジストマスクは、スペースの幅1616であるスペース微小寸法(CD)と、マスク線1614の幅1626である線CDとを有する。現時点において、248nmフォトレジストの場合、スペース幅CDの代表的CDは0.16μmである。一般に、フォトレジストのスペースの幅は、スペース内にフォトレジスト残留物を残すことなくフォトレジスト内にスペースを形成するのに十分な広さに作成される。フォトレジストマスク線の幅は、導電線の密度を増大させるのに十分な薄さである。
次いで、スペースの幅を狭くするために、フォトレジスト構成の側壁の上にフッ素含有共形層が堆積される(ステップ208)。図10Bは、その側壁の上に層1630を堆積されたパターン形成フォトレジストマスク1612の概略断面図である。堆積層1630は、フッ素1650を含有している。フッ素含有堆積層1630は、マスクスペース内に堆積層スペース1632を形成し、堆積層スペース1632は、マスクスペースの幅1616より小さい低減幅(CD)1634を有する。また、フッ素含有堆積層1630は、マスク線1614の幅1626より大きい幅1638を有する堆積層マスク線を形成する。好ましくは、堆積層スペース1632の低減幅1634は、マスクスペース1620の幅1616より少なくとも20%小さい(すなわち、マスクスペース1620の幅1616の80%以下である)。より好ましくは、堆積層スペース1632の低減幅1634は、マスクスペース1620の幅1616より少なくとも50%小さい(すなわち、マスクスペース1620の幅1616の50%以下である)。最も好ましくは、堆積層スペース1632の低減幅1616は、マスクスペース1620の幅1634より少なくとも70%小さい(すなわち、マスクスペース1620の幅1616の30%以下である)。また、堆積層は、図に示されるように高度に共形である実質的に垂直な側壁1642を形成することが望ましい。実質的に垂直な側壁の一例は、スペースの底部に対し、底部から上部にかけて88°〜90°の角度をなす側壁である。共形側壁は、スペースの上部から底部にかけて実質同じ厚さを有する堆積層を有する。導電層エッチングのためのこのプロセスは、単一の堆積で共形層を提供することができる。
導電層をエッチングするための好ましい実施形態では、フッ素含有堆積層は、あらゆる方向に共形である(等方性)。これは、ARL1610の上の層を、マスクの側壁上の層と同程度の厚さにする。
堆積層1630内のフッ素は除去される。図10Cは、その側壁の上に層1630を堆積されたパターン形成フォトレジストマスク1612であって、残りの堆積層1630を所定位置に残しつつ堆積層1630内のフッ素1650を除去した後におけるマスク1612の概略断面図である。本発明の一実施形態では、フッ素含有堆積層1630は、窒素/酸素ガスプラズマの組み合わせによって処理され、これは、窒素/酸素プラズマとフッ素含有堆積層1630との間に、プラズマによって推進される化学反応をもたらす。堆積層1630内のフッ素分子1650は、残りの堆積層1630をなおも所定位置に残しつつ解放され、そうして堆積層1630から除去される。
導電層1608は、堆積層1630を通してエッチングされてよい(ステップ212)。この例では、エッチングステップは、図11に示されるように、少なくとも2つの別々のエッチングを含む。堆積層1630をエッチングするために、異方性の堆積層エッチングが使用される(ステップ1704)。図16Dは、堆積層が異方性エッチングを経た後における基板の断面図である。残る堆積層は、マスク線1614の周囲に側壁1642を形成する。導電層1608内へのエッチングには、異方性導電層エッチングが使用される(ステップ1708)。図10Eは、間にスペース1650を形成された導電線1646を形成するために導電層をエッチングされた後における基板の断面図である。図10Eに示されるように、導電線1646は幅1648を有し、導電線間のスペースは幅1652を有する。好ましくは、導電線間のスペース1650の幅1652は、マスク線間のスペース1620の幅1616より少なくとも20%小さい。より好ましくは、導電線間のスペース1650の幅1652は、マスク線間のスペース1620の幅1616より少なくとも50%小さい。最も好ましくは、導電線間のスペース1650の幅1652は、マスク線間のスペース1620の幅1616より少なくとも70%小さい。
フォトレジストおよび堆積層は、次いで、剥離されてよい(ステップ216)。これは、単一のステップによってなされても良いし、あるいは、堆積層除去ステップおよびフォトレジスト剥離ステップの2つの別々のステップによってなされても良い。剥離プロセスには、アッシングが使用されてよい。図10Fは、堆積層およびフォトレジストマスクを除去された後におけるスタック1600を示している。さらなるプロセスが実施されてよい(ステップ220)。例えば、メモリデバイスの一部にするために、導電線が形成されてよい。
結果として得られる構造は、より間隔が狭くなおかつ導電線がより幅広の、より高密度のデバイスを提供する。この例では、導電線1646の幅1648は、スペース1650の幅1652にほぼ等しい。この実施形態は、導電線幅対スペース幅の比をその他の値にすることもできる。好ましくは、マスク線の幅対マスク線間のスペースの幅の比が1:1より小さく、このとき、導電線の幅対導電線間のスペースの比は、1:1を下回らず、よりいっそう好ましくは1:1より大きい。このような比は、導電層がポリシリコンである場合に、より高密度のメモリデバイスを提供するのに有用である。
本発明の別の一実施形態では、マスク線は、スペースの幅にほぼ等しい幅を有する。図12Aは、基板1804の上のバリア層1806の上の導電性エッチング対象層1808であって、該導電性エッチング対象層1808の上のARL1810の上に、マスクスペース1820のマスク線1814を形成するパターン形成フォトレジストマスク1812を有する導電性エッチング対象層1808の概略断面図である。フォトレジストマスクは、スペースの幅1816であるスペース微小寸法(CD)と、マスク線1814の幅1826である線CDとを有する。一般に、フォトレジストのスペースの幅は、スペース内にフォトレジスト残留物を残すことなくフォトレジスト内にスペースを形成するのに十分な広さに作成される。
次いで、スペースの幅を狭くするために、フォトレジスト構成の側壁の上にフッ素含有共形層が堆積される(ステップ208)。図1812Bは、その側壁の上に層1830を堆積されたパターン形成フォトレジストマスク1812の概略断面図である。堆積層1830は、フッ素1850を含有している。フッ素含有堆積層1830は、マスクスペース内に堆積層スペース1832を形成し、堆積層スペース1832は、マスクスペースの幅1816より小さい低減幅(CD)1834を有する。また、フッ素含有堆積層1830は、マスク線1814の幅1826より大きい幅1838を有する堆積層マスク線を形成する。
堆積層1830内のフッ素は除去される。図12Cは、その側壁の上に層1330を堆積されたパターン形成フォトレジストマスク1812であって、残りの堆積層1830を所定位置に残しつつ堆積層1830内のフッ素1850を除去した後におけるマスク1812の概略断面図である。本発明の一実施形態では、フッ素含有堆積層1830は、窒素/酸素ガスプラズマの組み合わせによって処理され、これは、窒素/酸素プラズマとフッ素含有堆積層1830との間に、プラズマによって推進される化学反応をもたらす。堆積層1830内のフッ素分子1850は、残りの堆積層1830をなおも所定位置に残しつつ解放され、そうして堆積層1830から除去される。
導電層1808は、堆積層1830を通してエッチングされてよい(ステップ212)。図18Dは、間にスペース1850を形成された導電線1846を形成するために導電層をエッチングされた後における基板の断面図である。図12Dに示されるように、導電線1846は幅1848を有し、導電線間のスペースは幅1852を有する。
フォトレジストおよび堆積層は、次いで、剥離されてよい(ステップ216)。図12Eは、堆積層およびフォトレジストマスクを除去された後におけるスタック1800を示している。さらなるプロセスが実施されてよい(ステップ220)。例えば、各種のデバイスを電気的に接続するために、金属線が形成されてよい。
結果得られる構造は、より密集した、より幅広の導線を提供する。この例では、導電金属線の幅1648は、これまでと同程度の密度を有するものの、より間隔の狭い、より広い導電性の提供は、抵抗を小さくするなどによって導電線のパフォーマンスを向上させる。本発明は、初めのマスクの線幅より100%を超える割合で大きい導電線幅を提供し得る。より好ましくは、導電線幅は、初めのマスクの線幅より150%を超える割合で大きい。この実施形態では、堆積ステップは順になされ、非同時的である。
レシピの例
レシピ(具体的構成)の一例では、堆積層および導電層の堆積とエッチングとの両方に使用され得るデバイスは、カリフォルニア州フリーモントのLAM Research Corporation(商標)によって生産される2300 Versys(商標)である。図13は、堆積層の堆積およびエッチングの両方のために使用されるこのようなデバイス1900の概略図である。プラズマ処理チャンバ1900は、誘導アンテナ(またはコイル)1902と、ガス分布板(GDP)1904と、基板サポート1908と、ガス源1910と、排出ポンプ1920とを含む。ガス源1910は、ガス分布板1904と流体接続しており、堆積ガス源1912と、フッ素除去ガス源1914と、エッチングガス源1916とを含む。ガス源1910は、第2のエッチングまたは堆積ガス源などのさらなるガス源を含んでもよい。プラズマ処理チャンバ1900内において、基板1604は、基板サポート1908上に配置される。基板サポート1908は、基板1604を保持するための適切な基板チャックメカニズム(例えば静電的クランプ、機械的クランプなど)を組み入れている。リアクタトップ1928は、アンテナ1902からチャンバ内へのエネルギの伝送を可能にする石英誘電体窓1976を組み入れている。誘電体窓1976と、基板サポート1908と、陽極酸化されたアルミニウムチャンバ壁1952とは、閉じ込めプラズマ体積を画定する。ガスが、ガス源1910によって閉じ込めプラズマ体積に供給され、排出ポンプ1920によって排出口を通って閉じ込めプラズマ体積から排出される。アンテナには、第1のRF源1944が電気的に接続される。基板サポート1908には、第2のRF源1948が電気的に接続される。この例では、第1のRF源1944は、周波数13.56MHzで信号を提供し、第2のRF源1948は、周波数13.56MHzで信号を提供する。
堆積層の堆積(ステップ1702)中は、140ミリトールの圧力がチャンバに提供される。RF源は、27MHzのプラズマ周波数で400ワットの電力を提供する。ガスの流れは、150sccmのCH3Fと75sccmのN2とからなるCH3FとN2との組み合わせである。
堆積層の異方性エッチング(ステップ1704)中は、40ミリトールの圧力がチャンバに提供される。第1のRF源1994は、アンテナ1902によって、27MHzのプラズマ周波数で800ワットの電力をプラズマ体積1940に提供する。基板への正イオンを加速してエッチングを促進するために、基板サポートに対して−175ボルトのバイアスが印加される。エッチングガス源1916は、75sccmのCF4を提供する。
導電層の異方性エッチング(ステップ1708)は、4つのエッチング、すなわちBT(ブレイクスルー)エッチングと、ME1(メインエッチング1)と、ME2(メインエッチング2)と、OE(オーバーエッチング)とを使用して達成される。BT用に、40ミリトールの圧力が提供される。アンテナ1902を通じて、27MHzのプラズマ周波数で800ワットの電力がチャンバ1900に提供される。基板へのイオンを加速してエッチングを促進するために、基板サポート1908に対して−175ボルトのバイアスが印加される。エッチング源は、75sccmのCF4を約10秒間にわたって提供する。
ME1用に、10ミリトールの圧力が提供される。アンテナ1902を通じて800ワットがチャンバ1900に提供される。基板へのイオンを加速してエッチングを促進するために、基板サポート1908に対して−90ボルトのバイアスが印加される。エッチング源は、100sccmのCl2と、100sccmのHBrと、5sccmのO2とを、約45秒間にわたって提供する。
ME2用に、20ミリトールの圧力が提供される。アンテナ1902を通じて400ワットがチャンバ1900に提供される。基板へのイオンを加速してエッチングを促進するために、基板サポート1908に対して−170ボルトのバイアスが印加される。エッチング源は、20sccmのCl2と、360sccmのHBrと、5sccmのO2とを提供する。このエッチングをいつ停止するかを決定するために、終点検出が使用される。
OE用に、60ミリトールの圧力が提供される。アンテナ1902を通じて500ワットがチャンバ1900に提供される。基板へのイオンを加速してエッチングを促進するために、基板サポート1908に対して−210ボルトのバイアスが印加される。エッチング源は、267sccmのHeと、133sccmのHBrと、2sccmのO2をと、約80秒間にわたって提供する。
堆積層の形成には、炭化水素含有ポリマなどのその他の材料も使用され得るものの、これらの材料は、フッ素含有ポリマの堆積と比べてより多くのうねりおよびストリエーションをフォトレジストに発生させる。したがって、堆積層の形成には、フッ素含有ポリマを使用することがより好ましい。
その他の実施形態は、マスクのためにハードマスクを使用してよい。このような実施形態では、ハードマスクを開くために、フォトレジストマスクが使用されてよい。堆積層は、間隔を狭めるために、ハードマスクの上に置かれてよい。あるいは、堆積層は、ハードマスクのエッチングに先立って、フォトレジストの上に配されてよい。
本発明は、いくつかの好ましい実施形態の観点から説明されているが、本発明の範囲に含まれるものとして、代替、変更、および代わりとなる各種の等価の形態がある。また、本発明の方法および装置を実現する多くの代替方法があることも、留意されるべきである。したがって、添付の特許請求の範囲は、本発明の真の趣旨および範囲に含まれるものとして、このようなあらゆる代替、変更、および代わりとなる各種の等価な形態を含むものと解釈されることを意図される。

Claims (33)

  1. 層内に構成を形成するための方法であって、
    前記層の上へのフォトレジスト層の形成と、
    フォトレジスト側壁を有するフォトレジスト構成を形成するための、前記フォトレジスト層のパターン形成であって、前記フォトレジスト構成は第1の微小寸法を有する、パターン形成と、
    前記フォトレジスト構成の前記微小寸法を小さくするための、前記フォトレジスト構成の前記側壁の上へのフッ素含有共形層の堆積と、
    前記フッ素含有共形層のフッ素除去と、
    前記層内への構成のエッチングであって、前記層構成は、前記第1の微小寸法より小さい第2の微小寸法を有する、エッチングと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    前記フォトレジスト構成の前記側壁の上への前記共形層の堆積は、
    第1の堆積プラズマを発生させるための第1のガス化学特性による第1の堆積と、
    第2の堆積プラズマを発生させるための第2のガス化学特性による第2の堆積と、を含み、
    前記第1のガス化学特性は、前記第2のガス化学特性と異なる、方法。
  3. 請求項1ないし2のいずれかに記載の方法であって、
    前記フッ素含有共形層は、フッ素含有ポリマを使用して形成される、方法。
  4. 請求項3に記載の方法であって、
    フッ素除去は、前記フッ素を除去して前記ポリマを残す、方法。
  5. 請求項1ないし4のいずれかに記載の方法であって、
    前記フォトレジスト構成の上への前記共形層の堆積は、さらに、
    第3の堆積プラズマを発生させるための前記第1のガス化学特性による第3の堆積と、
    第4の堆積プラズマを発生させるための前記第2のガス化学特性による第4の堆積と、
    を含む、方法。
  6. 請求項1ないし5のいずれかに記載の方法であって、
    前記第2の微小寸法は、前記第1の微小寸法の70%以下である、方法。
  7. 請求項1ないし6のいずれかに記載の方法であって、
    前記側壁の上への前記共形層の堆積は、実質的に垂直な側壁を形成する、方法。
  8. 請求項1ないし7のいずれかに記載の方法であって、
    前記フォトレジスト層は、248nmフォトレジストまたは193nmフォトレジストからなる群より選択される少なくとも1つで形成され、
    前記構成は、140nm以下の微小寸法を有する、方法。
  9. 請求項1ないし8のいずれかに記載の方法であって、さらに、
    前記フォトレジスト層と前記堆積された共形層との単一の剥離ステップによる剥離を備える方法。
  10. 請求項9に記載の方法であって、
    前記フォトレジストと前記堆積された共形層の剥離は、前記フォトレジスト層と前記堆積層とのアッシングを含む、方法。
  11. 請求項1ないし10のいずれかに記載の方法であって、
    前記共形層は、側壁厚さを有し、前記共形層は、前記構成の上部から底部にかけて実質同じ側壁厚さを有する、方法。
  12. 請求項1ないし10のいずれかに記載の方法であって、
    前記共形層は、側壁厚さとフォトレジスト構成底部厚さとを有し、前記側壁厚さは、前記フォトレジスト構成底部厚さより大きい、方法。
  13. 請求項1ないし12のいずれかに記載の方法であって、
    前記フッ素は、前記共形層に第3のガス化学特性を適用することによって前記共形層から除去される、方法。
  14. 請求項13に記載の方法であって、
    前記第3のガス化学特性は、窒素(N2)と酸素(O2)とを含む、方法。
  15. 請求項14に記載の方法であって、
    窒素対酸素の比は、窒素2対酸素1から窒素1対酸素10までの範囲である、方法。
  16. 請求項1ないし15のいずれかに記載の方法によって形成される半導体デバイス。
  17. 層内に構成を形成するための方法であって、
    前記層の上へのフォトレジスト層の形成と、
    フォトレジスト側壁を有するフォトレジスト構成を形成するための、前記フォトレジスト層のパターン形成であって、前記フォトレジスト構成は第1の微小寸法を有する、パターン形成と、
    前記フォトレジスト構成の前記微小寸法を小さくするための、前記フォトレジスト構成の前記側壁の上へのフッ素含有層の堆積であって、前記フォトレジスト構成の前記側壁の上への前記層の堆積は、
    第1の堆積プラズマを発生させるための第1のガス化学特性による第1の堆積と、
    第2の堆積プラズマを発生させるための第2のガス化学特性による第2の堆積であって、前記第1のガス化学特性は、前記第2のガス化学特性と異なる、第2の堆積と、
    を含む、ことと、
    前記フッ素含有層のフッ素除去と、
    前記層内への構成のエッチングであって、前記層構成は、前記第1の微小寸法の70%以下である第2の微小寸法を有する、エッチングと、
    を備える方法。
  18. 請求項17に記載の方法であって、
    前記第2の微小寸法は、前記第1の微小寸法の60%以下である、方法。
  19. 請求項17ないし18のいずれかに記載の方法であって、
    前記フッ素含有層は、フッ素含有ポリマを使用して形成される、方法。
  20. 請求項19に記載の方法であって、
    フッ素除去は、前記フッ素を除去して前記ポリマを残す、方法。
  21. 請求項17ないし20のいずれかに記載の方法であって、
    前記フォトレジスト構成の上への前記層の堆積は、さらに、
    第3の堆積プラズマを発生させるための前記第1のガス化学特性による第3の堆積と、
    第4の堆積プラズマを発生させるための前記第2のガス化学特性による第4の堆積と、
    を含む、方法。
  22. 請求項17ないし21のいずれかに記載の方法であって、
    前記側壁の上への前記層の堆積は、実質的に垂直な側壁を形成する、方法。
  23. 請求項17ないし22のいずれかに記載の方法であって、
    前記フッ素は、前記共形層に第3のガス化学特性を作用させることによって前記層から除去される、方法。
  24. 請求項23に記載の方法であって、
    前記第3のガス化学特性は、窒素(N2)と酸素(O2)とを含む、方法。
  25. 請求項24に記載の方法であって、
    窒素対酸素の比は、窒素2対酸素1から窒素1対酸素10までの範囲である、方法。
  26. 層内に構成を形成するための装置であって、前記層は、基板によって支えられており、前記層は、第1の微小寸法を有するフォトレジスト構成をともなうフォトレジストマスクによって覆われ、前記装置は、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバの外周壁を形成するチャンバ壁と、
    前記プラズマ処理チャンバの外周壁内で基板を支えるための基板サポートと、
    前記プラズマ処理チャンバの外周壁内の圧力を調整するための圧力制御部と、
    プラズマを維持するために前記プラズマ処理チャンバの外周壁に電力を供給するための少なくとも1つの電極と、
    前記プラズマ処理チャンバの外周壁内にガスを供給するためのガス入口と、
    前記プラズマ処理チャンバの外周壁内からガスを排出するためのガス出口と、を含む、プラズマ処理チャンバと、
    前記ガス入口と流体接続しているガス源であって、
    第1の堆積ガス源と、
    第2の堆積ガス源と、
    フッ素除去ガス源と、
    エッチャントガス源と、を含むガス源と、
    前記ガス源および前記少なくとも1つの電極に可制御式に接続されるコントローラであって、
    少なくとも1つのプロセッサと、
    コンピュータ可読媒体と、を備え、
    前記コンピュータ可読媒体は、少なくとも3つの堆積サイクルを提供するためのコンピュータ可読コードであって、前記堆積サイクルにより、第2の微小寸法を有する構成をフォトレジスト構成内に形成するためのフォトレジストマスク上に側壁堆積が形成される、コンピュータ可読コードを備え、
    前記コンピュータ可読コードは、
    前記第1の堆積ガス源から前記プラズマ処理チャンバの外周壁への第1の堆積ガスの流れを提供するためのコンピュータ可読コードと、
    前記第1の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第1の堆積ガスの流れを停止するためのコンピュータ可読コードと、
    前記第1の堆積ガスの流れが停止された後に、前記第2の堆積ガス源から前記プラズマ処理チャンバの外周壁への第2の堆積ガスの流れを提供するためのコンピュータ可読コードと、
    前記第2の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第2の堆積ガスの流れを停止するためのコンピュータ可読コードと、
    前記第2の堆積ガスの流れが停止された後に、前記フッ素除去ガス源から前記プラズマ処理チャンバの外周壁へのフッ素除去ガスの流れを提供するためのコンピュータ可読コードと、
    前記フッ素除去ガス源から前記プラズマ処理チャンバの外周壁への前記フッ素除去ガスの流れを停止するためのコンピュータ可読コードと、
    前記少なくとも3つの堆積サイクルが完了され、前記フッ素除去ガスの流れが停止された後に、前記エッチャントガス源から前記プラズマ処理チャンバへのエッチャントガスの流れを提供するためのコンピュータ可読コードと、
    前記エッチャントガスを使用して、第3の微小寸法を有する構成を前記層内にエッチングするためのコンピュータ可読コードと、を含む、コントローラと、を備える装置。
  27. 請求項26に記載の装置であって、
    前記フォトレジストマスク上の前記側壁堆積は、フッ素を含み、前記フッ素は、前記プラズマ処理チャンバの外周壁に前記フッ素除去ガスの流れが提供されるときに除去される、装置。
  28. 請求項26ないし27のいずれかに記載の装置であって、
    前記ガス源は、さらに、第3の堆積ガス源を含み、
    前記コンピュータ可読媒体は、さらに、
    前記第2の堆積ガスの流れが停止された後に、前記第3の堆積ガス源から前記プラズマ処理チャンバの外周壁への第3の堆積ガスの流れを提供するためのコンピュータ可読コードと、
    前記第3の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第3の堆積ガスの流れを停止するためのコンピュータ可読コードと、を含む、装置。
  29. 層内に構成を形成するための装置であって、前記層は、基板によって支えられており、前記層は、側壁と第1の微小寸法とを有するフォトレジスト構成をともなうフォトレジストマスクによって覆われ、前記装置は、
    プラズマ処理チャンバであって、
    プラズマ処理チャンバの外周壁を形成するチャンバ壁と、
    前記プラズマ処理チャンバの外周壁内で基板を支えるための基板サポートと、
    前記プラズマ処理チャンバの外周壁内の圧力を調整するための圧力制御部と、
    プラズマを維持するために前記プラズマ処理チャンバの外周壁に電力を供給するための少なくとも1つの電極と、
    前記プラズマ処理チャンバの外周壁内にガスを供給するためのガス入口と、
    前記プラズマ処理チャンバの外周壁内からガスを排出するためのガス出口と、を含む、プラズマ処理チャンバと、
    前記ガス入口と流体接続しているガス源であって、
    第1の堆積ガス源と、
    フッ素除去ガス源と、
    エッチャントガス源と、を含むガス源と、
    前記ガス源および前記少なくとも1つの電極に可制御式に接続されるコントローラであって、
    少なくとも1つのプロセッサと、
    コンピュータ可読媒体と、を備え、
    前記コンピュータ可読媒体は
    少なくとも1つの堆積サイクルを提供するためのコンピュータ可読コードであって、前記堆積サイクルにより、前記フォトレジスト構成の前記第1の微小寸法を小さくするために前記フォトレジスト構成の前記側壁の上にフッ素含有共形層が形成される、コンピュータ可読コードと、
    前記フッ素含有共形層からフッ素を除去するために、前記少なくとも1つの堆積サイクルが完了された後に、少なくとも1つのフッ素除去サイクルを提供するためのコンピュータ可読コードと、
    前記層内に構成をエッチングするために、前記少なくとも1つのフッ素除去サイクルが完了された後に、少なくとも1つのエッチングサイクルを提供するためのコンピュータ可読コードであって、前記層構成は、前記フォトレジスト構成の前記第1の微小寸法より小さい第2の微小寸法を有する、コンピュータ可読コードと、を含む、コントローラと、を備える装置。
  30. 請求項29に記載の装置であって、
    前記フォトレジスト構成の前記側壁の上にフッ素含有共形層を形成するために、少なくとも1つの堆積サイクルを提供するための前記コンピュータ可読コードは、
    前記第1の堆積ガス源から前記プラズマ処理チャンバの外周壁への第1の堆積ガスの流れを提供するためのコンピュータ可読コードと、
    前記第1の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第1の堆積ガスの流れを停止するためのコンピュータ可読コードと、を含む、装置。
  31. 請求項30に記載の装置であって、
    前記ガス源は、さらに、第2の堆積ガス源を含み、
    前記フォトレジスト構成の前記側壁の上にフッ素含有共形層を形成するために、少なくとも1つの堆積サイクルを提供するための前記コンピュータ可読コードは、さらに、
    前記第1の堆積ガスの流れが停止された後に、前記第2の堆積ガス源から前記プラズマ処理チャンバの外周壁への第2の堆積ガスの流れを提供するためのコンピュータ可読コードであって、前記第2の堆積ガスは、前記第1の堆積ガスと異なる、コンピュータ可読コードと、
    前記第2の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第2の堆積ガスの流れを停止するためのコンピュータ可読コードと、を含む、装置。
  32. 請求項31に記載の装置であって、
    前記ガス源は、さらに、第3の堆積ガス源を含み、
    前記フォトレジスト構成の前記側壁の上にフッ素含有共形層を形成するために、少なくとも1つの堆積サイクルを提供するための前記コンピュータ可読コードは、さらに、
    前記第2の堆積ガスの流れが停止された後に、前記第3の堆積ガス源から前記プラズマ処理チャンバの外周壁への第3の堆積ガスの流れを提供するためのコンピュータ可読コードであって、前記第3の堆積ガスは、前記第1の堆積ガスおよび前記第2の堆積ガスと異なる、コンピュータ可読コードと、
    前記第3の堆積ガス源から前記プラズマ処理チャンバの外周壁への前記第3の堆積ガスの流れを停止するためのコンピュータ可読コードと、を含む、装置。
  33. 請求項29ないし32のいずれかに記載の装置であって、
    前記フッ素含有共形層からフッ素を除去するために、少なくとも1つのフッ素除去サイクルを提供するための前記コンピュータ可読コードは、
    前記フッ素除去ガス源から前記プラズマ処理チャンバの外周壁へのフッ素除去ガスの流れを提供するためのコンピュータ可読コードと、
    前記フッ素除去ガス源から前記プラズマ処理チャンバの外周壁への前記フッ素除去ガスの流れを停止するためのコンピュータ可読コードと、を含む、装置。
JP2009532507A 2006-10-10 2007-10-04 フッ素除去プロセス Expired - Fee Related JP5081917B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/545,903 US7309646B1 (en) 2006-10-10 2006-10-10 De-fluoridation process
US11/545,903 2006-10-10
PCT/US2007/080455 WO2008045764A1 (en) 2006-10-10 2007-10-04 De-fluoridation process

Publications (2)

Publication Number Publication Date
JP2010506428A true JP2010506428A (ja) 2010-02-25
JP5081917B2 JP5081917B2 (ja) 2012-11-28

Family

ID=38825919

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009532507A Expired - Fee Related JP5081917B2 (ja) 2006-10-10 2007-10-04 フッ素除去プロセス

Country Status (8)

Country Link
US (2) US7309646B1 (ja)
EP (1) EP2074648B1 (ja)
JP (1) JP5081917B2 (ja)
KR (1) KR101411797B1 (ja)
CN (1) CN101523567B (ja)
AT (1) ATE540425T1 (ja)
TW (1) TWI420594B (ja)
WO (1) WO2008045764A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018139330A (ja) * 2013-03-22 2018-09-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2019024043A (ja) * 2017-07-24 2019-02-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
JP2019110275A (ja) * 2017-12-20 2019-07-04 東芝メモリ株式会社 半導体装置の製造方法
WO2020008933A1 (ja) * 2018-07-04 2020-01-09 東京エレクトロン株式会社 エッチング方法及び基板処理装置

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US8182458B2 (en) 2006-10-04 2012-05-22 First Quality Products, Inc. Fastener with adhesive blocker
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
JP5357710B2 (ja) * 2009-11-16 2013-12-04 東京エレクトロン株式会社 基板処理方法,基板処理装置,プログラムを記録した記録媒体
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20120094494A1 (en) * 2010-10-14 2012-04-19 Macronix International Co., Ltd. Methods for etching multi-layer hardmasks
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
EP3444671A1 (en) * 2017-08-18 2019-02-20 IMEC vzw Making a mask layer
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08195380A (ja) * 1995-01-13 1996-07-30 Sony Corp コンタクトホールの形成方法
JPH08236506A (ja) * 1995-02-28 1996-09-13 Sony Corp 半導体装置の製造方法
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
WO2005024904A2 (en) * 2003-08-26 2005-03-17 Lam Research Corporation Reduction of feature critical dimensions
JP2005116690A (ja) * 2003-10-06 2005-04-28 Toshiba Corp 半導体装置の製造方法
WO2006030581A1 (ja) * 2004-09-17 2006-03-23 Renesas Technology Corp. 半導体装置の製造方法
WO2006083592A1 (en) * 2005-02-03 2006-08-10 Lam Research Corporation Method for reducing critical dimensions using multiple masking steps
WO2006096528A2 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5378170A (en) 1976-12-22 1978-07-11 Toshiba Corp Continuous processor for gas plasma etching
US4871630A (en) 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5296410A (en) 1992-12-16 1994-03-22 Samsung Electronics Co., Ltd. Method for separating fine patterns of a semiconductor device
JPH0997833A (ja) * 1995-07-22 1997-04-08 Ricoh Co Ltd 半導体装置とその製造方法
US5879853A (en) * 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5907775A (en) * 1997-04-11 1999-05-25 Vanguard International Semiconductor Corporation Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US6187685B1 (en) 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6100014A (en) * 1998-11-24 2000-08-08 United Microelectronics Corp. Method of forming an opening in a dielectric layer through a photoresist layer with silylated sidewall spacers
KR100829288B1 (ko) 1998-12-11 2008-05-13 서페이스 테크놀로지 시스템스 피엘씨 플라즈마 처리장치
US6162733A (en) * 1999-01-15 2000-12-19 Lucent Technologies Inc. Method for removing contaminants from integrated circuits
US6368974B1 (en) 1999-08-02 2002-04-09 United Microelectronics Corp. Shrinking equal effect critical dimension of mask by in situ polymer deposition and etching
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6905800B1 (en) * 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6750150B2 (en) 2001-10-18 2004-06-15 Macronix International Co., Ltd. Method for reducing dimensions between patterns on a photoresist
KR100400230B1 (ko) * 2001-11-26 2003-10-01 삼성전자주식회사 점착방지막을 갖는 초소형 기계 구조체 및 그 제조 방법
KR100448714B1 (ko) 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7105442B2 (en) * 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030235998A1 (en) * 2002-06-24 2003-12-25 Ming-Chung Liang Method for eliminating standing waves in a photoresist profile
US7035696B1 (en) * 2002-07-03 2006-04-25 Ahsoon Technologies, Inc. Method and apparatus for poly gate CD control
US20040010769A1 (en) * 2002-07-12 2004-01-15 Macronix International Co., Ltd. Method for reducing a pitch of a procedure
CN1226455C (zh) 2002-07-19 2005-11-09 联华电子股份有限公司 预清除用氟化碳反应气体的蚀刻工艺后残留聚合物的方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7090967B2 (en) * 2002-12-30 2006-08-15 Infineon Technologies Ag Pattern transfer in device fabrication
US6780708B1 (en) 2003-03-05 2004-08-24 Advanced Micro Devices, Inc. Method of forming core and periphery gates including two critical masking steps to form a hard mask in a core region that includes a critical dimension less than achievable at a resolution limit of lithography
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
KR100549204B1 (ko) * 2003-10-14 2006-02-02 주식회사 리드시스템 실리콘 이방성 식각 방법
US7012027B2 (en) 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US6864184B1 (en) * 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7053003B2 (en) * 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7282441B2 (en) * 2004-11-10 2007-10-16 International Business Machines Corporation De-fluorination after via etch to preserve passivation
US20060134917A1 (en) * 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7049209B1 (en) * 2005-04-01 2006-05-23 International Business Machines Corporation De-fluorination of wafer surface and related structure
KR100810303B1 (ko) * 2005-04-28 2008-03-06 삼성전자주식회사 휴대단말기의 데이터 표시 및 전송방법
US7695632B2 (en) 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US7273815B2 (en) * 2005-08-18 2007-09-25 Lam Research Corporation Etch features with reduced line edge roughness

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08195380A (ja) * 1995-01-13 1996-07-30 Sony Corp コンタクトホールの形成方法
JPH08236506A (ja) * 1995-02-28 1996-09-13 Sony Corp 半導体装置の製造方法
JP2002110654A (ja) * 2000-10-04 2002-04-12 Sony Corp 半導体装置の製造方法
WO2005024904A2 (en) * 2003-08-26 2005-03-17 Lam Research Corporation Reduction of feature critical dimensions
JP2005116690A (ja) * 2003-10-06 2005-04-28 Toshiba Corp 半導体装置の製造方法
WO2006030581A1 (ja) * 2004-09-17 2006-03-23 Renesas Technology Corp. 半導体装置の製造方法
WO2006083592A1 (en) * 2005-02-03 2006-08-10 Lam Research Corporation Method for reducing critical dimensions using multiple masking steps
WO2006096528A2 (en) * 2005-03-08 2006-09-14 Lam Research Corporation Stabilized photoresist structure for etching process

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018139330A (ja) * 2013-03-22 2018-09-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2019024043A (ja) * 2017-07-24 2019-02-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
JP2019110275A (ja) * 2017-12-20 2019-07-04 東芝メモリ株式会社 半導体装置の製造方法
JP7137927B2 (ja) 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
WO2020008933A1 (ja) * 2018-07-04 2020-01-09 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置

Also Published As

Publication number Publication date
CN101523567A (zh) 2009-09-02
EP2074648A1 (en) 2009-07-01
EP2074648B1 (en) 2012-01-04
WO2008045764A1 (en) 2008-04-17
KR101411797B1 (ko) 2014-06-24
US20080083502A1 (en) 2008-04-10
JP5081917B2 (ja) 2012-11-28
TWI420594B (zh) 2013-12-21
ATE540425T1 (de) 2012-01-15
KR20090091292A (ko) 2009-08-27
EP2074648A4 (en) 2011-05-18
CN101523567B (zh) 2013-07-10
TW200836260A (en) 2008-09-01
US8172948B2 (en) 2012-05-08
US7309646B1 (en) 2007-12-18

Similar Documents

Publication Publication Date Title
JP5081917B2 (ja) フッ素除去プロセス
KR101083622B1 (ko) 피쳐 임계 치수의 감소
US8614149B2 (en) Critical dimension reduction and roughness control
KR101184956B1 (ko) 다수의 마스킹 단계를 이용하여 임계 치수를 감소시키는 방법
US7491647B2 (en) Etch with striation control
US8124516B2 (en) Trilayer resist organic layer etch
US7772122B2 (en) Sidewall forming processes
US8864931B2 (en) Mask trimming
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
US8470715B2 (en) CD bias loading control with ARC layer open
WO2006028673A1 (en) Etch with uniformity control

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100930

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120410

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120417

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120807

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120903

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150907

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5081917

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees