JP2009514187A - 集積回路デバイス内の相互接続構造体 - Google Patents

集積回路デバイス内の相互接続構造体 Download PDF

Info

Publication number
JP2009514187A
JP2009514187A JP2006516156A JP2006516156A JP2009514187A JP 2009514187 A JP2009514187 A JP 2009514187A JP 2006516156 A JP2006516156 A JP 2006516156A JP 2006516156 A JP2006516156 A JP 2006516156A JP 2009514187 A JP2009514187 A JP 2009514187A
Authority
JP
Japan
Prior art keywords
layer
planarizing
forming
depositing
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006516156A
Other languages
English (en)
Other versions
JP4763600B2 (ja
Inventor
ウィリー、ウィリアム
エデールスタイン、ダニエル
コート、ウィリアム
ビオルシ、ピーター
フリッチェ、ジョン
ウプハム、アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009514187A publication Critical patent/JP2009514187A/ja
Application granted granted Critical
Publication of JP4763600B2 publication Critical patent/JP4763600B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 フォトレジスト汚染の問題に悩まされないデュアル・ダマシン構造体を形成する方法を提供すること。
【解決手段】 本発明は、集積回路デバイス内のデュアル・ダマシン相互接続構造体の製造に関する。具体的には、平坦化材料及び拡散障壁材料を用いて、低kの誘電体薄膜内にシングル又はデュアル・ダマシン構造体を形成するための方法が開示される。この方法の好ましいデュアル・ダマシンの実施形態において、最初に誘電体材料にビアを形成し、次にビア内及び誘電体材料上に平坦化材料を付着させ、平坦化材料上に障壁材料を付着させる。次に、リソグラフィにより像形成材料にトレンチが形成され、障壁材料を通して平坦化材料がエッチングされ、トレンチ・パターンが誘電体材料に転写される。これらのエッチング・ステップの過程の間及び該エッチング・ステップの過程に続いて、像形成材料、障壁材料及び平坦化材料が除去される。次に、結果として得られるデュアル・ダマシン構造を金属化することができる。この方法を用いる場合、層間誘電体材料によるフォトレジスト汚染の問題が軽減される。
【選択図】 図6

Description

本発明は、一般に、集積回路デバイスの製造に関し、より具体的には、集積回路デバイス内のシングル・ダマシン又はデュアル・ダマシン相互接続構造体の製造に関する。
デュアル・ダマシン構造体を形成するための幾つかの基本的方法が開発されてきた。これらは、ビア・ファースト手法、ライン・ファースト手法、及び種々のハードマスク・スキームを含む。これらの方法の全てが問題をはらんでいる。
ビア・ファースト手法を用いる場合、誘電体層上にフォトレジスト層を用いることにより、ライン像形成層の「汚染」を生じることが多く、この汚染は、エッチングによりビアが完全に又は部分的に誘電体内に形成された後に生じる。これは、底部反射防止コーティングを用いてビアを一時的に充填し、ライン像形成層の平坦な表面を形成する場合にも、生じる。汚染の原因は明確には分からないが、絶縁体の透過性が有限であること、汚染が観察される場所にフォトレジストを適用する前に、下方の膜において並びにエッチング及び剥離のパターンにおいてN及びH処理ガスを使用することの結果として、絶縁体からアミン化合物が吸収及び/又は生成され、かつ、遊離されるためであると考えられる。ケイ酸塩ガラスの誘電体層に比べて、誘電体層が化学気相付着法(CVD)を用いて適用される低k絶縁体であるときに、汚染の問題が一層悪化するように見える。これは、低kの絶縁体の透過性が増大すること、幾つかの付着法においてNOをキャリアガスとして使用すること、及びリソグラフィ・パターンの前に剥離を行うために、N及びHのような還元性化学剤を共用することの結果であると考えられる。
汚染の問題を解決するための試みは不十分なものであった。一つの試みは、汚染にあまり敏感でないレジスト材料を用いることである。しかしながら、そうしたレジスト材料は、像形成の解像度を損ない、リソグラフィ処理ウインドウを減少させる。別の試みは、汚染の発生が減少するように誘電体材料を変成することである。例えば、Grill他への特許文献1においては、低kのSiCOH膜を作るために、シロキサン・ベースの前駆体及びHeガスを用いることによって、酸化キャリアガスとしてNOを使用することを回避し、これにより付着されたままの膜内の窒素源が排除される。このことは、ブランケットSiCOH膜上への最初のフォトレジスト材料の汚染を防止できるが、パターンがSiCOH膜内にエッチングされ、レジストが剥離された後、アミンが依然として生成され、アミンは、デュアル・ダマシン相互接続部に必要とする次のフォトレジスト・パターン形成ステップを害する可能性がある。別の例においては、Rutter,Jr.他による公開された特許文献2が、低kの材料を酸性化合物で前処理することによって、フォトレジストの汚染をなくす方法を記載している。しかしながら、こうした修正が、絶縁材の誘電率及び他の特性に悪影響を与えることがある。また、下にあるCuレベル上の誘電体障壁キャップのような、下にある特定の誘電体材料は、窒素及び水素を含むことが好ましいが、容易に修正することはできない汚染源となることがある。窒素を除去すると障壁特性が損なわれ、水素を除去すると誘電率が許容できないレベルまで上がることになる。
ビア・ファーストのデュアル・ダマシン手法におけるライン・レジスト汚染に対する解決法の一例が、非特許文献1に開示されている。これらの実際的な解決法は、レジスト自体の修正、研磨停止及びエッチング停止(Cu障壁キャップ)層を無窒素膜に限定すること、並びに、エッチング及び誘電体付着の化学剤において窒素を使用しないことを必要とした。平坦化層と像形成層との間にスピン・オン・ガラス(SOG)層を付加したときでさえ、依然として、このSOG層を通して汚染が生じた。
部分的にうまくいった別の手法は、ビアのエッチング後に障壁材料を付着させるというものである。この手法においては、ビアは、TEOS又はシランSiOのような障壁材料の非常に薄い層で裏打ちされ、これにより汚染源が封じ込められる。このライナ材料は、優れた等角性を持つものでなければならない。高アスペクト比のビア内に材料を付着させることは難しいので、この手法を将来の技術に拡張することはできない。このライナの薄い領域内の欠陥が、有害ガスの通過を可能にし、統計上の発生率が低いものであったとしても、許容できないレベルの欠陥パターンをライン像形成層内に引き起こす可能性がある。
首尾よく汚染をなくすことができる別の手法は、SiO、Siのような多層のハードマスク膜及びTaNのような金属窒化物を適用するというものである。この概念は、最初にGrill他の特許文献3に記載され、SiLK(登録商標)の低kのポリアリレン・エーテル誘電体をパターン形成するために、R.D.Goldblatt他(非特許文献2)によって成功裡に使用された。(SiLK(登録商標)は、Dow Chemical Company社の登録商標である。)RIEは、高い選択性を持つ誘電体をハードマスク材料にエッチングする必要があるので、これらの方法は、より複雑であり、RIE製造のためには困難なものになる。このことは、RIEが機能できる条件を制約し、よって、誘電体膜において所望のパターン形成の制御を達成する能力を損なうことがある。SiLK(登録商標)のようなシリコンを含まない有機ポリマーの場合には、その達成は困難ではなく、好ましい手法である。しかしながら、SiCOHのようなシリコンを含む誘電体材料の場合には、金属窒化物を含む何らかの通常のハードマスク材料に対して、高度のエッチング選択性を得ることは困難である。従来のRIE化学剤を修正するか、或いはSiCOHパターンの完全性が失われる箇所までハードマスク層を厚くすることが必要になる。
ライン・ファースト手法では、特に寸法が小さい場合、ラインの内側にビアをプリントするのに難儀する。この困難の理由は、ビア像形成層は、異なるパターン密度で様々なライン・トレンチ・パターンの上で平坦化させなければならず、種々の構造体において、この像形成層厚にばらつきが生じるからである。全てのライン・パターン状況において全てのビアを同時に像形成できる光リソグラフィ線量及び合焦プロセス・ウィンドウを定めることは、困難であるか又は不可能である。さらにビア・サイズが小さくなるにつれて、ライン構造体内に充填され、該ライン構造体上で平坦にされる余分な厚さのレジストを通して、ビア像を露光し、現像することが一層困難になる。さらに、既にエッチングされたライン・トレンチが、低k誘電体をビア像形成層に露光させ、存在する場合には、アミンが漏れて、この像形成層の汚染を生じる可能性があるので、この手法を用いる場合もレジストの汚染が引き続き問題になる。
フォトレジストの汚染は、業界において公知の問題である。汚染を防止するものの、その付着中に平坦化材料を損傷しない障壁層を生成する、他の当業者の試みは不首尾に終った。したがって、一部の当業者はビア・ファースト法をあきらめ、一般的には、汚染を回避するためにハードマスク法を用いている。例えば、Angelopoulos他による特許文献4及びAngelopoulos他による公開された特許文献5(これらの開示は、引用によりここに組み入れられる)に記載されている方法は、多機能層、すなわち平坦化層、アーク層、及びハードマスク層として気相付着された層(R:C:H:X)を用いている。気相付着された膜(R:C:H:X)は、レジストと両立性があり、従って汚染を生じさせないと言われている。気相付着された層(R:C:H:X)は、デバイス上で恒久的な膜となり、このことは、この層を現状技術のデバイスに用いる場合には、該層も低k材料でなければならないという付加的な要件が必要となる。これらの要件の全てを満たす材料を見つけることは、一層困難であると考えられる。パターン形成された低k誘電体と両立性がある、この材料のための周知の剥離プロセスは、現在のところ存在しない。これらの要件の全てを満たす膜を選択する際には、注意が必要である。例えば、膜の正確な性質によって、一部のR:C:H:X膜は汚染を防止するが、他のものは防止しないことが発見された。
一般に、レジスト汚染の現象を回避することは、特に低k絶縁体のための多層のダマシン統合における他の要素に、前世代技術では問題とならなかった重大な制約を加えた。これらの制約は望ましいものではなく、不利益になる。非特許文献1の論文に記載されるように、Cu障壁キャップ、層間の誘電体材料自体、使用されるエッチング及び剥離の化学剤、層間誘電体層を覆うキャップに対する必要性、及びレジスト像形成層の材料自体の選択について汚染をもたらさない選択には制限が加えられる。これら要素における選択を制限せず、さらに汚染を明確に防止する方法で、像形成層をこれらの他の統合要素から切り離すことが望ましい。
米国特許第6,147,009号明細書 米国出願第2001/0036748号明細書 米国特許第6,140,226号明細書 米国特許第6,316,167号明細書 米国特許出願第2002/0012876号明細書 米国特許出願第09/256,034号明細書 K.Higashi他著、「A manufacturable Copper/Low−k SiOC/SiCN Process Technology for 90nm−node High Performance eDRAM」、IEEE 2002 IITCの予稿集、2002年6月、pp.15−17 R.D.Goldblatt他著、「A High Performance 0.13μm Copper BEOL Technology with Low−k Dielectric」、the IEEE 2000 International Interconnect Technology Conferenceの予稿集、pp.261−263
したがって、フォトレジスト汚染の問題に悩まされないデュアル・ダマシン構造体を形成する方法に対する当該技術分野における必要性が残っている。
上述の問題が、本発明の方法によって解決される。一般に、本発明は、半導体基板上にエッチング・パターンを形成する方法を含む。この方法は、基板上に薄膜を付着させるステップと、該薄膜上に平坦化材料の層を付着させるステップと、該平坦化材料層の上に障壁材料の層を付着させるステップと、該障壁材料層の上に少なくとも1つの像形成材料の層を付着させるステップと、像形成材料層、障壁材料層及び平坦化材料層に少なくとも1つの第1のパターン形状を形成するステップと、平坦化材料に第1のパターン形状を形成した後又は該平坦化材料に該第1のパターン形状を形成すると同時に、像形成材料を除去するステップと、第1のパターン形状を薄膜に転写するステップと、第1のパターン形状を薄膜に転写した後又は該第1のパターン形状を該薄膜に転写すると同時に、障壁層を除去するステップと、平坦化材料を除去するステップとを含む。薄膜は、好ましくは誘電体材料であり、より好ましくは低kの誘電体材料である。平坦化材料は、ポリ(4−ヒドロキシスチレン)、9−アントラセニルメチル化ポリ(ヒドロキシスチレン)、テトラヒドロ−1,3,4,6−テトラキス(メトキシメチル)−イミダゾ[4,5−d]イミダゾール−2,5−(1H,3H)−ジオン、及びp−ニトロベンジル・トシラート(pNBT)を含む、ポリ(ヒドロキシスチレン)ベースの系であることが好ましい。障壁材料は、好ましくは、約100℃から約225℃まで、より好ましくは約150℃の温度で、プラズマ化学気相付着法によって付着される二酸化シリコンである。方法はさらに、像形成材料を付着する前に障壁材料上に反射防止コーティングの層を付着させるステップと、平坦化材料に第1のパターン形状を形成した後又は該平坦化材料に該第1のパターン形状を形成すると同時に、反射防止コーティングを除去するステップとを含むことができる。方法はまた、像形成材料、障壁材料及び平坦化材料を除去した後、銅のような導電性材料でパターン形状を充填するステップをさらに含むことができる。
好ましい実施形態において、本発明は、少なくとも1つのパターン形成された導体を含んだ半導体基板上にデュアル・ダマシン相互接続構造体を形成するためのビア・ファースト方法を含む。この方法は、基板上に誘電体材料を付着させるステップと、誘電体材料に少なくとも1つのビアを、該少なくとも1つのビアがパターン形成された導体の上に位置させられるように形成するステップと、誘電体材料上及びビア内に平坦化材料の層を付着させるステップと、平坦化材料層上に障壁材料の層を付着させるステップと、障壁材料層上に少なくとも1つの像形成材料層を付着させるステップと、像形成材料層、障壁材料層及び平坦化材料層に少なくとも1つのトレンチを、該少なくとも1つのトレンチがビアの上に位置させられるように形成するステップと、平坦化材料にトレンチを形成した後又は該平坦化材料に該トレンチを形成すると同時に、像形成材料を除去するステップと、少なくとも1つのトレンチを誘電体材料に転写し、該少なくとも1つのトレンチがビアの上に位置させられるようにするステップと、誘電体材料に少なくとも1つのトレンチを転写した後又は該誘電体材料に少なくとも1つのトレンチを転写すると同時に、障壁材料を除去するステップと、平坦化材料を除去するステップとを含む。
1つの代替的な実施形態においては、本発明は、少なくとも1つのパターン形成された導体を含む半導体基板上にデュアル・ダマシン相互接続構造体を形成するためのライン・ファースト方法を含む。この方法は、基板上に誘電体材料を付着させるステップと、誘電体材料に少なくとも1つのトレンチを、該少なくとも1つのトレンチがパターン形成された導体の上に位置させられるように形成するステップと、誘電体材料上及びトレンチ内に平坦化材料の層を付着させるステップと、平坦化材料層上に障壁材料の層を付着させるステップと、障壁材料層上に少なくとも1つの像形成材料の層を付着させるステップと、像形成材料層、障壁材料層及び平坦化材料層に少なくとも1つのビアを、該少なくとも1つのビアがトレンチ及びパターン形成された導体の上に位置させられるように形成するステップと、平坦化材料にビアを形成した後又は該平坦化材料に該ビアを形成すると同時に、像形成材料を除去するステップと、少なくとも1つのビアを誘電体材料に転写し、該少なくとも1つのビアがトレンチ及びパターン形成された導体の上に位置させられるようにするステップと、少なくとも1つのビアを誘電体材料に転写した後又は該少なくとも1つのビアを誘電体材料に転写すると同時に、障壁材料を除去するステップと、平坦化材料を除去するステップとを含む。
このように、パターン形成されたウェハ上に平坦化膜を付着させ、次に平坦化膜の上部に障壁層、好ましくは低温酸化物(LTO)膜を付着させることによって、上述の汚染の問題は解決される。従来のリソグラフィによるパターン形成が、LTO膜の上部で行われる。本発明は、ウェハを平坦化すること、及び、下にある平坦化膜に損傷を与えずに、依然として汚染に対する拡散障壁として働く有効な膜を生成するLTO付着条件を用いることによって利点を達成する。
本発明は、平坦化層と、その後のフォトレジスト汚染を防止する障壁層との組合せを用いることに基づいている。平坦化層の使用により、深いアスペクト比のトレンチのようなエッチング・パターンを充填することが回避される。好ましい平坦化層は、デュアル・ダマシンのパターン形成中にビアを充填するために従来用いられる多くの典型的なレジスト又はアーク材料に比べて、優れた平坦化性能及び優れた熱安定性を有する。これらは、改善された微細なパターンの製造能力、及び良好な障壁特性を達成するのに適した温度でLTO膜を付着させる能力をもたらす。平坦化層の上に付着される障壁層は化学的に安定し、機械的に堅固で、相対的に欠陥のないものでなければならず、平坦化層を損傷してはならず、フォトレジスト汚染に対する障壁として働くものでなければならない。
ここで、本発明の好ましい実施形態が、単なる例として、添付の図面を参照して説明される。
図においては、本発明の実施形態をより明確に説明し、示すように、構造体の種々の態様が図示され、簡略化された方法で概略的に表された。例えば、図は、縮尺通りであることが意図されていない。さらに、構造体の種々の態様の幾つかの縦方向断面は、矩形の形状として示されている。しかしながら、当業者であれば、実際の構造体を用いる場合、これらの態様が、よりテーパー状の形態を有する可能性が高いことを理解するであろう。さらに、本発明は、いずれかの特定の形状構成に制限されるものではない。
本発明の方法の好ましい実施形態が、ビア・ファーストのデュアル・ダマシン手法における本発明の使用法を示す図1乃至図6に示されている。図1において、この方法の開始点は、パターン形成された導体10が内部に埋め込まれた半導体基板11である。導体10は、任意の適切な導電性材料で形成できるが、銅で形成されることが好ましい。キャップ層12及び層間誘電体(ILD)13が、基板11及び導体10上に付着される。キャップ層12は、例えば、SiN又はSiCHNで形成することができる。ILD13は任意の適切な導電性材料で形成できるが、好ましくは低k材料、すなわち誘電率が3.9より小さい、より好ましくは約3.2より小さい材料で形成される。低kのILD13は、例えば、プラズマ化学気相付着法(PECVD)によって付着されたSiOF(フッ化ケイ酸塩ガラス、FGS、F−TEOS等)、SiCOH(有機ケイ酸塩ガラス、OSG、炭素をドープした酸化物、CDO等)、或いは、他の任意の適切な無機又は有機の低−k誘電体材料で形成することができる。任意のキャップ14を低k材料13の上に付加することができ、シラン又はTEOS酸化物、或いはSiC(N,H)の低kの炭化ケイ素でキャップ14を形成することができる。リソグラフィ及び反応性イオン・エッチング(RIE)/剥離のような従来のパターン形成技術を用いて、ビア15がパターン形成される。低kの膜13が依然としてアミンを含有していないため、又はキャップ14が適切な障壁として働くために、通常、ビア15のパターン形成中には、汚染が生じない。
次に、平坦化層16が適用される。平坦化層16は、空隙を有さないようにビア15を充填し、ラインのRIEに対して良好な抵抗を与えなければならない。平坦化層16はまた、ライン・パターンを定めるために、RIE後に十分な厚さになるように十分に厚いものにしなくてはならない。さらに、この材料の平坦化性能は、異なるビア・パターン密度の領域にわたる表面トポロジーの偏差を制限するのに十分なものにしなければならず、さもなければ、焦点深度の制限のために、次の高解像度のリソグラフィ性能が損なわれることがある。平坦化層16の厚さは、ビアの高さの関数として表すことができる。具体的には、ビア高の約半分からビア高の2倍までの範囲の厚さが好ましい。好ましい実施形態においては、平坦化層16は、約140nmのビア・サイズ及び約600nmのビア高に対して、約200nmから約700nmまでの範囲の厚さを有する。特に好ましい実施形態においては、平坦化層16は、約450nmの厚さを有し、そこでは、厚さがビア高の約0.75倍である。
平坦化材料16は、特許文献6において下層のために用いられるような材料であることが好ましく、この特許の開示が、引用によりここに組み込まれる。具体的には、平坦化材料は、2つのPHSポリマー、架橋剤、及び熱的酸生成剤(TAG)配合物である、ポリ(ヒドロキシスチレン)(PHS)ベースの系であることが好ましい。好ましいPHSポリマーは、ポリ(4−ヒドロキシスチレン)及び9−アントラセニルメチル化PHSである。好ましい架橋剤は、「Powderlink」としても知られている、テトラヒドロ−1,3,4,6−テトラキス(メトキシメチル)−イミダゾ[4,5−d]イミダゾール−2,5−(1H,3H)−ジオンである。好ましいTAGは、p−ニトロベンジルトシラート(pNBT)である。2つのPHSポリマーのポリ(4−ヒドロキシスチレン)及び9−アントラセニルメチル化PHSの比は、この系において3:7であることが好ましいが、所望の光学特性を与えるために、0:10から10:0までの範囲で調整することができる。この系はまた、約10wt%(全ポリマー重量に基づく)の架橋剤及び約5wt%(全ポリマー重量に基づく)のTAGを含む。
平坦化材料16として用い得る他の材料は、BARL材料のようなポリアリルスルフォン、ポリヒドロキシスチレンと、架橋剤及び酸触媒(熱的酸生成剤)を含有するアントラセンメタノールと反応したポリヒドロキシスチレンのコポリマーを例とする、ポリヒドロキシスチレン・ベースの誘導体、ポリイミド、ポリエーテル、特にポリアリレン・エーテル、ポリアリレンスルフィド、ポリカーボネート、エポキシ、エポキシアクリレート、ポリフェニレンのようなポリアリレン、ポリフェニレンビニレンのようなポリアリレンビニレン、ポリビニルカルバゾール、環状オレフィン、及びポリエステルを含む。
好ましいPHSベースの平坦化材料は、好ましくは約200℃から約250℃まで、より好ましくは約225℃の温度でベーキングされる。
次に、拡散障壁層17が付着される。好ましい実施形態においては、障壁層17は、付着源としてシラン及び一酸化二窒素を用いて、約100℃から約225℃まで、より好ましくは約150℃の温度で、プラズマ化学気相付着法(PECVD)によって付着された低温の酸化シリコン(LTO)である。(NOを用いることができるが、後の像形成層の汚染をもたらさないことが見出された。)代替的に、テトラエチルオルトシリケート(TEOS)及び酸素ガスを用いることもできる。有効な拡散障壁として働くために、障壁層17の厚さは、約50nmから100nmまでの間であることが好ましい。
PECVDによって付着されたLTOが好ましいが、平坦化層の損傷を回避するようにその付着温度及び応力が適度に低い限り、拡散障壁層17のために他の材料を使用することもできる。例えば、拡散障壁層17のために、物理的気相付着法(スパッタリング又は蒸発)或いは化学気相付着法によって付着された金属又は誘電体を使用することができる。適切な材料は、シリコン、窒化シリコン、炭化シリコン、窒化チタン、及び窒化タンタルを含む。層17のための材料は、障壁として機能するのに十分密なものにすべきであり、層17は、リソグラフィの位置合わせのために光透過性となるように十分に薄くすべきである(例えば、金属の場合)。拡散障壁層は、フォトレジスト内に拡散し、酸触媒反応を防止するアルカリ性不純物のような、下にある絶縁体からフォトレジスト材料内への不純物の拡散を有効に阻止する任意の材料で形成することができる。アミンのようなアルカリ性不純物がレジスト内に拡散して酸触媒反応を妨げること、そしてLTO層がこれらアミンに対する障壁として働くことが考えられる。
次に、図1に示されるように、フォトレジスト即ち像形成層19が付着、露光、及び現像され、これによりトレンチ20が形成される。像形成層19を付着させる前に、反射防止コーティング(ARC)層18を付着させることができる。パターン形成されたフォトレジスト19をマスクとして用い、図2に示されるようにARC18及び障壁層17がエッチングされ、トレンチ開口部21がもたらされる。次に、図3に示されるように、平坦化膜16がエッチングされ、これによりトレンチ開口部22が形成される。平坦化層16がエッチングされる間、一般に、層19、18及び16が、多くのエッチング化学剤において同様の速度でエッチングされる有機層であるので、同時に行うエッチングによって、像形成層19及びARC層18を除去することができる。LTO層17は、平坦化層16のエッチングのためのマスクとして働く。その結果が、図3に示される。好ましい実施形態においては、窒素と水素の混合物を用いて、PHSベースの平坦化層16、像形成層19、及びARC層18を同時にエッチングすることができる。
図4において、RIE化学剤を用いてILD13をエッチングし、これによりトレンチ23が形成される。ILD13がSiCOHであるとき、好ましいRIE化学剤は、Ar/O/CF/CHF、及び/又は、CHF、CH、C、C、及びCのような他の任意のフッ化炭素である。このRIEステップ中に、障壁層17が完全に除去され、平坦化層16が、フィールド内及びビア内に残る。図5において、平坦化層16が選択的に剥離され、キャップ層12がビア15の底部から除去される。平坦化膜16が上述の好ましいPHSベース系であるとき、例えば、N/H/Oの化学剤又はO/COの化学剤、或いはHの化学剤を用いて、平坦化膜16を剥離することができる。例えば、Ar/CF/Oの化学剤、又はAr/CF/CHF/Oの化学剤を用いて、キャップ層12を除去することができる。
最後に、図6は、デュアル・ダマシンのビア/トレンチ・パターンのメタライゼーションを示し、これによりライン25及びビア26が形成される。例えば、物理的気相付着法(PVD)によって薄い超硬合金ライナ及びCuシード層を付着させるステップと、電気めっきされたCuで余分に充填するステップと、次いで、化学的機械的研磨(CMP)により余分なCu及びライナを平坦化し、除去するステップとによって、メタライゼーションを行うことができる。CMPステップの際に、キャップ層14を除去することができる。幾つかの実施形態においては、層14が完全には除去されず、元の厚さより薄くされる。好ましい実施形態においては、層14が、CMPによって完全に除去される。
実施例:ここに説明される方法は、上述の好ましいPHSベースの系を平坦化層16として用い、かつLTOを障壁層17として用いて、90nm基本原則の集積回路チップの製造において成功裡に用いられた。下にあるCu導体10の上のキャップ層12はSiCNHであり、この層からのアミン形成を防止するように、後処理も被覆層も用いられなかった。幾つかのチップは、剥離後の洗浄ステップがない状態で、N及びHを含むビア・レジスト剥離エッチング化学剤を用いて製造された。この方法は、次の平坦化層の225℃のベーク後にアミンを形成する傾向がある。レジスト汚染が存在しないことが、光学的及びSEM検査及び電気的試験データを通して検証された。孤立したビア、及びビア・チェーン・アレイの試験構造体のコーナー部のように、チップ上の特定の構造体が、特にレジスト汚染に敏感であることが知られている。全ての試験構造体が、如何なるレジスト汚染の影響も全く受けていないことが示された。
本発明の方法を用いて、フォトレジスト汚染が排除されるが、特定の添加剤又は手続きを用いずに、さらに従来のリソグラフィのフォトレジストを用いることもできる。ウェハが完全に平坦化されるので、この方法は、広いリソグラフィ・プロセス・ウィンドウを可能にする。換言すれば、トラフの内部にビアをプリントする必要はなく、ハードマスクによって形成された段の上にプリントする必要がない。さらに、この方法は、従来のRIEガスを使用する。金属のハードマスクが含まれないので、この方法は、一般に半導体の製造に用いられる既存のバックエンド・オブ・ライン(back−end−of−line:BEOL)RIEプロセスと両立性があり、金属のハードマスクに関連したRIE製造技術を新たに学ぶ必要がない。Cu障壁キャップ及び層間誘電体自体を含む、下にある誘電体層の窒素含有量についての制約もない。トレンチのエッチングに影響を与えることなくビアのエッチングを調整できるので、ビア・ファースト法は、多重ハードマスク法に比べると、本質的にRIEがより簡単である。最も重要なことに、多孔率を増大し物理的ライン幅を減少して新しい材料が導入されるので、本方法は、将来の技術に拡張可能である。
上述の好ましい実施形態において、本発明の方法は、ビア・ファーストのデュアル・ダマシン手法に即して示されている。しかしながら、この方法は、ライン・ファーストのデュアル・ダマシン手法のような他のダマシン技術にも等しく適用することができる。
本発明は、特定の好ましい実施形態及び他の代替的な実施形態と共に具体的に説明されているが、前記の説明に照らして、当業者には、多数の代替、修正、及び変形が明らかであることは明白である。したがって、添付の特許請求の範囲は、本発明の真の範囲内に含まれるものとして、こうした代替、修正、及び変形の全てを含むことが意図されている。
本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。 本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。 本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。 本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。 本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。 本発明に従ってデュアル・ダマシン構造を形成する好ましい方法を示す。

Claims (19)

  1. 半導体基板上にエッチング・パターンを形成する方法であって、基板上に薄膜を付着させるステップと、前記薄膜上に平坦化材料の層を付着させるステップと、前記平坦化材料層上に障壁材料の層を付着させるステップと、前記障壁材料層上に少なくとも1つの像形成材料の層を付着させるステップと、前記像形成材料層、前記障壁材料層及び前記平坦化材料層に少なくとも1つの第1のパターン形状を形成するステップと、前記平坦化材料に前記第1のパターン形状を形成した後又は該平坦化材料に該第1のパターン形状を形成すると同時に、前記像形成材料を除去するステップと、前記第1のパターン形状を前記薄膜に転写するステップと、前記第1のパターン形状を前記薄膜に転写した後又は該第1のパターン形状を該薄膜に転写すると同時に、前記障壁層を除去するステップと、前記平坦化材料を除去するステップとを含むことを特徴とする方法。
  2. 少なくとも1つのパターン形成された導体を含む半導体基板上にデュアル・ダマシン相互接続構造体を形成する方法であって、前記基板上に誘電体材料を付着させるステップと、前記誘電体材料に少なくとも1つのビアを、前記少なくとも1つのビアが前記パターン化された導体の上に位置させられるように形成するステップと、前記誘電体材料上及び前記ビア内に平坦化材料の層を付着させるステップと、前記平坦化材料層上に障壁材料の層を付着させるステップと、前記障壁材料層上に少なくとも1つの像形成材料の層を付着させるステップと、前記像形成材料層、前記障壁材料層及び前記平坦化材料層に少なくとも1つのトレンチを、前記少なくとも1つのトレンチが前記ビアの上に位置させられるように形成するステップと、前記平坦化材料に前記トレンチを形成した後又は該平坦化材料に該トレンチを形成すると同時に、前記像形成材料を除去するステップと、前記少なくとも1つのトレンチを前記誘電体材料に転写し、該少なくとも1つのトレンチが前記ビアの上に位置させられるようにするステップと、前記少なくとも1つのトレンチを前記誘電体材料に転写した後又は該少なくとも1つのトレンチを該誘電体材料に転写すると同時に、前記障壁材料を除去するステップと、前記平坦化材料を除去するステップとを含むことを特徴とする方法。
  3. 少なくとも1つのパターン形成された導体を含む半導体基板上にデュアル・ダマシン相互接続構造体を形成する方法であって、前記基板上に誘電体材料を付着させるステップと、前記誘電体材料に少なくとも1つのトレンチを、前記少なくとも1つのトレンチが前記パターン化された導体の上に位置させられるように形成するステップと、前記誘電体材料上及び前記トレンチ内に平坦化材料の層を付着させるステップと、前記平坦化材料層上に障壁材料の層を付着させるステップと、前記障壁材料層上に少なくとも1つの像形成材料の層を付着させるステップと、前記像形成材料層、前記障壁材料層及び前記平坦化材料層に少なくとも1つのビアを、前記少なくとも1つビアが前記トレンチの上に位置させられるように形成するステップと、前記平坦化材料に前記ビアを形成した後又は該平坦化材料内に該ビアを形成すると同時に、前記像形成材料を除去するステップと、前記少なくとも1つのビアを前記誘電体材料に転写し、該少なくとも1つのビアが前記トレンチ及び前記パターン形成された導体の上に位置させられるようにするステップと、前記少なくとも1つのビアを前記誘電体材料に転写した後又は該少なくとも1つのビアを該誘電体材料に転写すると同時に、前記障壁材料を除去するステップと、前記平坦化材料を除去するステップとを含むことを特徴とする方法。
  4. 前記平坦化材料層を付着させる前に、前記薄膜内に少なくとも1つの第2のパターン形状を形成し、前記平坦化材料で前記第2のパターン形状を充填する、請求項1に記載の方法。
  5. 前記薄膜が誘電体材料である、請求項1に記載の方法。
  6. 前記誘電体材料が低kの誘電体材料である、請求項2、請求項3又は請求項5に記載の方法。
  7. 低kの誘電体材料が3.9より小さい誘電率を有する、請求項6に記載の方法。
  8. 低kの誘電体材料が約3.2より小さい誘電率を有する、請求項6に記載の方法。
  9. 前記平坦化材料が、ポリ(4−ヒドロキシスチレン)、9−アントラセニルメチル化ポリ(ヒドロキシスチレン)、テトラヒドロ−1,3,4,6−テトラキス(メトキシメチル)−イミダゾ[4,5−d]イミダゾール−2,5−(1H,3H)−ジオン、及びp−ニトロベンジル・トシラート(pNBT)を含むポリ(ヒドロキシスチレン)ベースの系である、請求項1から請求項8までのいずれか1項に記載の方法。
  10. 前記平坦化材料が、ポリアリルスルフォン、ポリヒドロキシスチレン・ベースの誘導体、ポリイミド、ポリエーテル、ポリアリレンスルフィド、ポリカーボネート、エポキシ、エポキシアクリレート、ポリアリレン、ポリアリレンビニレン、ポリビニルカルバゾール、環状オレフィン、及びポリエステルからなる群から選択される、請求項1から請求項9までのいずれか1項に記載の方法。
  11. 前記障壁材料が、約100℃から約225℃までの温度でプラズマ化学気相付着法によって付着される二酸化シリコンを含む、請求項1から請求項10までのいずれか1項に記載の方法。
  12. 前記障壁材料が、約150℃の温度でプラズマ化学気相付着法によって付着される、請求項11に記載の方法。
  13. 前記障壁材料は、シリコン、窒化シリコン、炭化シリコン、窒化チタン、及び窒化タンタルからなる群から選択される材料を含む、請求項1から請求項12までのいずれか1項に記載の方法。
  14. 前記像形成材料層を付着させる前に、前記障壁材料上に反射防止コーティングの層を付着させるステップと、前記平坦化材料に前記トレンチ又はビアを形成した後又は該平坦化材料に該トレンチ又はビアを形成すると同時に、前記反射防止コーティングを除去するステップとをさらに含む、請求項2又は請求項3に記載の方法。
  15. 前記像形成材料、前記障壁材料、及び前記平坦化材料を除去した後、前記第1のパターン形状を導電性材料で充填するステップをさらに含む、請求項2又は請求項3に記載の方法。
  16. 前記導電性材料が銅を含む、請求項15に記載の方法。
  17. 前記低kの誘電体材料が、化学気相付着法によって付着されるSiCOHである、請求項6に記載の方法。
  18. 前記平坦化材料を付着させた後、約200℃から約250℃までの温度で該平坦化材料をベーキングするステップをさらに含む、請求項9に記載の方法。
  19. 前記平坦化材料を付着させた後、約225℃の温度で該平坦化材料をベーキングするステップをさらに含む、請求項9に記載の方法。
JP2006516156A 2003-06-24 2004-06-16 エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法 Expired - Fee Related JP4763600B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/604,056 2003-06-24
US10/604,056 US7030031B2 (en) 2003-06-24 2003-06-24 Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
PCT/EP2004/051131 WO2004114396A1 (en) 2003-06-24 2004-06-16 Interconnect structures in integrated circuit devices

Publications (2)

Publication Number Publication Date
JP2009514187A true JP2009514187A (ja) 2009-04-02
JP4763600B2 JP4763600B2 (ja) 2011-08-31

Family

ID=33539867

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006516156A Expired - Fee Related JP4763600B2 (ja) 2003-06-24 2004-06-16 エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法

Country Status (8)

Country Link
US (2) US7030031B2 (ja)
EP (1) EP1639635B1 (ja)
JP (1) JP4763600B2 (ja)
KR (1) KR100754320B1 (ja)
CN (1) CN100456447C (ja)
IL (1) IL172668A0 (ja)
TW (1) TWI335053B (ja)
WO (1) WO2004114396A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006295171A (ja) * 2005-04-11 2006-10-26 Interuniv Micro Electronica Centrum Vzw デュアル・ダマシン・パターニング・アプローチ

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) * 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US7030031B2 (en) * 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7196397B2 (en) * 2004-03-04 2007-03-27 International Rectifier Corporation Termination design with multiple spiral trench rings
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
EP1646083B1 (en) 2004-10-08 2016-08-31 Imec Alternative dual damascene patterning approach
US7352064B2 (en) * 2004-11-04 2008-04-01 International Business Machines Corporation Multiple layer resist scheme implementing etch recipe particular to each layer
US7371461B2 (en) * 2005-01-13 2008-05-13 International Business Machines Corporation Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7723008B2 (en) * 2005-03-22 2010-05-25 Intel Corporation Photoactive adhesion promoter in a slam
US8598044B2 (en) 2005-03-25 2013-12-03 Renesas Electronics Corporation Method of fabricating a semiconductor device
US7857982B2 (en) * 2005-07-19 2010-12-28 Micron Technology, Inc. Methods of etching features into substrates
US7289933B2 (en) * 2005-11-04 2007-10-30 Synopsys, Inc. Simulating topography of a conductive material in a semiconductor wafer
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
JP4533304B2 (ja) * 2005-11-29 2010-09-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US20070134917A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Partial-via-first dual-damascene process with tri-layer resist approach
US7485573B2 (en) * 2006-02-17 2009-02-03 International Business Machines Corporation Process of making a semiconductor device using multiple antireflective materials
US7528066B2 (en) 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7816069B2 (en) * 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080160754A1 (en) * 2006-12-27 2008-07-03 International Business Machines Corporation Method for fabricating a microelectronic conductor structure
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
CN101355047B (zh) * 2007-07-27 2010-05-19 中芯国际集成电路制造(上海)有限公司 在低介电常数介质层中形成通孔的方法
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US20090081864A1 (en) * 2007-09-21 2009-03-26 Texas Instruments Incorporated SiC Film for Semiconductor Processing
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US8618663B2 (en) 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US8136224B1 (en) 2008-05-15 2012-03-20 Western Digital (Fremont), Llc Method and system for providing a perpendicular magnetic recording head utilizing a mask having an undercut line
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8084185B2 (en) * 2009-01-08 2011-12-27 International Business Machines Corporation Substrate planarization with imprint materials and processes
CN102054753B (zh) * 2009-11-10 2013-03-13 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的制造方法
US8367540B2 (en) 2009-11-19 2013-02-05 International Business Machines Corporation Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8232198B2 (en) 2010-08-05 2012-07-31 International Business Machines Corporation Self-aligned permanent on-chip interconnect structure formed by pitch splitting
CN101944483A (zh) * 2010-08-11 2011-01-12 上海集成电路研发中心有限公司 提高光刻胶抗刻蚀能力的方法
US8796150B2 (en) * 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8890318B2 (en) 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US9054160B2 (en) * 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
US20140273432A1 (en) * 2013-03-15 2014-09-18 Byung-hee Kim Fabricating method of semiconductor device
US9406589B2 (en) 2014-03-14 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Via corner engineering in trench-first dual damascene process
US9312191B2 (en) * 2014-08-14 2016-04-12 Globalfoundries Inc. Block patterning process for post fin
US9653345B1 (en) * 2016-01-07 2017-05-16 United Microelectronics Corp. Method of fabricating semiconductor structure with improved critical dimension control
CN106019816B (zh) * 2016-05-16 2019-08-20 上海华力微电子有限公司 一种减少光刻胶中毒的方法
CN107785246B (zh) * 2016-08-30 2022-10-14 联芯集成电路制造(厦门)有限公司 对基底进行离子注入的方法
US11175581B2 (en) 2016-12-05 2021-11-16 Rohm And Haas Electronic Materials Llc Aromatic resins for underlayers
US10483108B2 (en) 2017-04-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US10832945B2 (en) 2019-02-15 2020-11-10 International Business Machines Corporation Techniques to improve critical dimension width and depth uniformity between features with different layout densities
US11164777B2 (en) 2020-01-15 2021-11-02 International Business Machines Corporation Top via with damascene line and via
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
KR20210133524A (ko) 2020-04-29 2021-11-08 삼성전자주식회사 배선 구조체 및 이를 포함하는 반도체 패키지
US11799001B2 (en) 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0513407A (ja) * 1991-03-07 1993-01-22 Nec Corp 半導体装置およびその製造方法
JPH1197442A (ja) * 1997-09-24 1999-04-09 Sony Corp パターン形成方法およびそれを用いた半導体装置の製造方法並びに半導体装置
JP2000058454A (ja) * 1998-08-05 2000-02-25 Sony Corp 横方向エピタキシャル成長用マスクの形成方法および横方向エピタキシャル成長方法
JP2001230317A (ja) * 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
JP2002305187A (ja) * 2000-12-15 2002-10-18 Toshiba Corp 半導体装置の製造方法
JP2003508894A (ja) * 1999-08-26 2003-03-04 ブルーワー サイエンス アイ エヌ シー. デュアル・ダマシンプロセス用の改良された充填物質

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5883006A (en) * 1997-12-12 1999-03-16 Kabushiki Kaisha Toshiba Method for making a semiconductor device using a flowable oxide film
US6297170B1 (en) * 1998-06-23 2001-10-02 Vlsi Technology, Inc. Sacrificial multilayer anti-reflective coating for mos gate formation
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
JP3353727B2 (ja) * 1998-12-21 2002-12-03 日本電気株式会社 半導体装置の配線構造の形成方法
JP2000208620A (ja) 1999-01-11 2000-07-28 Mitsubishi Electric Corp 半導体装置の製造方法
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6444408B1 (en) * 2000-02-28 2002-09-03 International Business Machines Corporation High silicon content monomers and polymers suitable for 193 nm bilayer resists
JP2002050571A (ja) * 2000-05-02 2002-02-15 Shipley Co Llc 適合化処理
US6426298B1 (en) * 2000-08-11 2002-07-30 United Microelectronics Corp. Method of patterning a dual damascene
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6469385B1 (en) * 2001-06-04 2002-10-22 Advanced Micro Devices, Inc. Integrated circuit with dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
US6855629B2 (en) * 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
JP2003309172A (ja) * 2002-04-17 2003-10-31 Nec Electronics Corp デュアルダマシンプロセスにおけるパターン形成方法
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US7109119B2 (en) * 2002-10-31 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6720256B1 (en) * 2002-12-04 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of dual damascene patterning
US7030031B2 (en) * 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0513407A (ja) * 1991-03-07 1993-01-22 Nec Corp 半導体装置およびその製造方法
JPH1197442A (ja) * 1997-09-24 1999-04-09 Sony Corp パターン形成方法およびそれを用いた半導体装置の製造方法並びに半導体装置
JP2000058454A (ja) * 1998-08-05 2000-02-25 Sony Corp 横方向エピタキシャル成長用マスクの形成方法および横方向エピタキシャル成長方法
JP2003508894A (ja) * 1999-08-26 2003-03-04 ブルーワー サイエンス アイ エヌ シー. デュアル・ダマシンプロセス用の改良された充填物質
JP2001230317A (ja) * 2000-02-15 2001-08-24 Nec Corp 多層配線構造の形成方法及び半導体装置の多層配線構造
JP2002305187A (ja) * 2000-12-15 2002-10-18 Toshiba Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006295171A (ja) * 2005-04-11 2006-10-26 Interuniv Micro Electronica Centrum Vzw デュアル・ダマシン・パターニング・アプローチ

Also Published As

Publication number Publication date
CN1799138A (zh) 2006-07-05
IL172668A0 (en) 2006-04-10
US7326651B2 (en) 2008-02-05
US20040266201A1 (en) 2004-12-30
EP1639635A1 (en) 2006-03-29
US20050079701A1 (en) 2005-04-14
WO2004114396A1 (en) 2004-12-29
TW200511432A (en) 2005-03-16
JP4763600B2 (ja) 2011-08-31
EP1639635B1 (en) 2012-08-08
CN100456447C (zh) 2009-01-28
KR100754320B1 (ko) 2007-09-03
TWI335053B (en) 2010-12-21
US7030031B2 (en) 2006-04-18
KR20060011885A (ko) 2006-02-03

Similar Documents

Publication Publication Date Title
JP4763600B2 (ja) エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法
US6479391B2 (en) Method for making a dual damascene interconnect using a multilayer hard mask
US7238604B2 (en) Forming thin hard mask over air gap or porous dielectric
US7611986B2 (en) Dual damascene patterning method
US7115993B2 (en) Structure comprising amorphous carbon film and method of forming thereof
US7304386B2 (en) Semiconductor device having a multilayer wiring structure
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US7435676B2 (en) Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US6376366B1 (en) Partial hard mask open process for hard mask dual damascene etch
US6756321B2 (en) Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US20080038917A1 (en) MULTILAYER HARDMASK SCHEME FOR DAMAGE-FREE DUAL DAMASCENE PROCESSING OF SiCOH DIELECTRICS
US20030119307A1 (en) Method of forming a dual damascene structure
US6333558B1 (en) Semiconductor device and method for fabricating the same
JP3436221B2 (ja) 半導体装置の製造方法
JP2009532866A (ja) 機械的特性が改善された多孔性低k層を有するダマシン相互接続
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
KR20050007004A (ko) 반도체 소자의 금속배선층 형성방법
KR20040101008A (ko) 반도체 장치의 제조 방법
EP1646083B1 (en) Alternative dual damascene patterning approach
KR100645422B1 (ko) 반도체 소자의 제조 방법
KR100439111B1 (ko) 반도체소자의 금속배선 형성방법
KR100447322B1 (ko) 반도체 소자의 메탈 라인 형성 방법
KR20040077311A (ko) 금속배선의 듀얼 다마신 방법
KR20010063865A (ko) 반도체소자의 도전배선 형성방법

Legal Events

Date Code Title Description
A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100714

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101013

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110322

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110329

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110531

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110609

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140617

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees