JP2009076907A - Stressor for engineered strain on channel - Google Patents

Stressor for engineered strain on channel Download PDF

Info

Publication number
JP2009076907A
JP2009076907A JP2008237865A JP2008237865A JP2009076907A JP 2009076907 A JP2009076907 A JP 2009076907A JP 2008237865 A JP2008237865 A JP 2008237865A JP 2008237865 A JP2008237865 A JP 2008237865A JP 2009076907 A JP2009076907 A JP 2009076907A
Authority
JP
Japan
Prior art keywords
silicon
recess
semiconductor
liner
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008237865A
Other languages
Japanese (ja)
Other versions
JP2009076907A5 (en
JP5382642B2 (en
Inventor
Shawn Thomas
トーマス ショーン
Pierre Tomasini
トマシニ ピエール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of JP2009076907A publication Critical patent/JP2009076907A/en
Publication of JP2009076907A5 publication Critical patent/JP2009076907A5/ja
Application granted granted Critical
Publication of JP5382642B2 publication Critical patent/JP5382642B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet
    • Y10T428/2462Composite web or sheet with partial filling of valleys on outer surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a stressor for engineered strain on a channel and a forming method thereof. <P>SOLUTION: A semiconductor substrate has recesses filled with heteroepitaxial silicon-containing material with different portions having different impurity concentrations. Strained layers can fill recessed source/drain regions in a graded, bottom-up fashion. Layers can also line recess sidewalls with one concentration of strain-inducing impurity and fill the remainder to the recess with a lower concentration of the impurity. In the latter case, the sidewall liner can be tapered. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、基本的に半導体処理におけるシリコン含有物質の成膜に関し、より具体的には、半導体基板のリセスされたソース及びドレイン領域でのシリコン含有物質のエピタキシャル成膜に関する。   The present invention relates generally to the deposition of silicon-containing materials in semiconductor processing, and more specifically to epitaxial deposition of silicon-containing materials in recessed source and drain regions of a semiconductor substrate.

集積回路を形成する際に、エピタキシャル層は、素子分離領域間の活性領域、あるいはより具体的には画定されたソース及びドレイン領域上のような選択された場所にしばしば望まれる。非晶質又は多結晶となり得る非エピタキシャル物質が、成膜後に素子分離領域上から選択的に除去され得るが、通常、化学気相成長(CVD)及びエッチングのケミカルを同時に提供し、且つ、絶縁領域上のゼロ純成膜及び露出した半導体ウィンドウ上の正味のエピタキシャル成膜が生じるように条件を合わせることがより効率的であると考えられている。選択的なエピタキシャルCVDとして知られているこのプロセスは、酸化シリコン又は窒化シリコンのような絶縁体上で典型的な半導体成膜プロセスの遅い核形成を利用する。そのような選択的なエピタキシャルCVDは、さらに、エピタキシャル層の感受性と比較して、エッチャントに対する非晶質及び多結晶物質の当然より大きな感受性を利用する。   In forming an integrated circuit, an epitaxial layer is often desired at a selected location, such as on an active region between isolation regions, or more specifically on defined source and drain regions. Non-epitaxial materials that can be amorphous or polycrystalline can be selectively removed from the isolation region after deposition, but typically provide chemical vapor deposition (CVD) and etching chemistry at the same time, and provide isolation It is believed that it is more efficient to match the conditions so that a zero net deposition on the area and a net epitaxial deposition on the exposed semiconductor window occur. This process, known as selective epitaxial CVD, takes advantage of the slow nucleation of typical semiconductor deposition processes on insulators such as silicon oxide or silicon nitride. Such selective epitaxial CVD further utilizes the naturally greater sensitivity of amorphous and polycrystalline materials to etchants as compared to the sensitivity of epitaxial layers.

半導体層の選択的なエピタキシャル形成が望ましい多くの状況の実例は、ひずみを生成する幾つかのスキームを含む。シリコン、炭素不純物添加のシリコン、ゲルマニウム、及びシリコン・ゲルマニウム合金のような半導体物質の電気的性質は、物質のひずみ程度に影響される。例えば、半導体物質は、NMOSデバイスにとっては特に望ましい引っ張りひずみの状況下では高められた電子移動度、また、PMOSデバイスにとっては特に望ましい圧縮歪みの状況下では高められた正孔移動度を示す場合がある。半導体物質のパフォーマンスを高める方法は、様々な半導体プロセスアプリケーションにとって、相当興味深く、また、潜在的な応用を有する。半導体プロセシングは、特に厳格な品質要求を必然的に伴う集積回路の製造、及び、様々な他の分野の中で典型的に使用される。例えば、半導体プロセス技術は、様々のテクノロジーを使用するフラットパネルディスプレーの製造、及び、微小電気機械システム(MEMS)の製造の中でも使用される。   Examples of many situations where selective epitaxial formation of semiconductor layers is desirable include several schemes that generate strain. The electrical properties of semiconductor materials such as silicon, silicon doped with carbon, germanium, and silicon-germanium alloys are affected by the degree of strain of the material. For example, semiconductor materials may exhibit increased electron mobility under tensile strain conditions that are particularly desirable for NMOS devices, and increased hole mobility under compressive strain conditions that are particularly desirable for PMOS devices. is there. Methods for enhancing the performance of semiconductor materials are of considerable interest and potential application for various semiconductor process applications. Semiconductor processing is typically used in the manufacture of integrated circuits, which in particular involve strict quality requirements, and in various other fields. For example, semiconductor process technology is also used in the manufacture of flat panel displays using various technologies and in the manufacture of microelectromechanical systems (MEMS).

シリコン含有及びゲルマニウム含有物質中のひずみを引き起こす多くのアプローチが、様々な結晶物質間の格子定数の違いの活用に焦点を当てた。例えば、結晶ゲルマニウムの格子定数は5.65Åであり、結晶シリコンの格子定数は5.431Åであり、また、ダイヤモンド炭素の格子定数は3.567Åである。ヘテロエピタキシは、形成した膜が下にある結晶物質の格子定数を取り入れるように、異なる結晶物質上に特定の結晶物質の薄層を堆積させることを含む。例えば、このアプローチを使用すると、ひずみシリコン・ゲルマニウム層を、単結晶シリコン基板上へのヘテロエピタキシャル成膜によって形成することができる。ゲルマニウム原子がシリコン原子よりわずかに大きく、且つ、堆積したヘテロエピタキシャル・シリコン・ゲルマニウムがその下のシリコンのより小さな格子定数に束縛されるので、シリコン・ゲルマニウムは、ある程度(ゲルマニウム含有量の関数として変わる)まで圧縮的にひずむ。典型的には、シリコン・ゲルマニウム層のバンドギャップは、シリコン・ゲルマニウム中のゲルマニウム含有量の増加につれて純粋なシリコンの場合の1.12eVから純粋なゲルマニウムの場合の0.67eVまで単調に減少する。別のアプローチでは、引っ張りひずみは、緩和シリコン・ゲルマニウム層上にシリコン層をヘテロエピタキシャル堆積させることによって単結晶シリコン薄膜に形成される。この例では、ヘテロエピタキシャル堆積したシリコンの格子定数が下の緩和シリコン・ゲルマニウムのより大きな格子定数に束縛されるので、堆積したシリコンはひずませられる。引っ張りひずみを持たされたチャネルは典型的には増加した電子移動度を示す。また、圧縮的にひずんだチャネルは増加した正孔移動度を示す。   Many approaches that cause strain in silicon-containing and germanium-containing materials have focused on exploiting the difference in lattice constants between various crystalline materials. For example, the lattice constant of crystalline germanium is 5.65Å, the lattice constant of crystalline silicon is 5.431Å, and the lattice constant of diamond carbon is 3.567Å. Heteroepitaxy involves depositing a thin layer of a particular crystalline material on a different crystalline material so that the formed film takes in the lattice constant of the underlying crystalline material. For example, using this approach, a strained silicon-germanium layer can be formed by heteroepitaxial deposition on a single crystal silicon substrate. Since germanium atoms are slightly larger than silicon atoms and the deposited heteroepitaxial silicon germanium is bound to the lower lattice constant of the underlying silicon, the silicon germanium varies to some extent (as a function of germanium content ) Until compression. Typically, the band gap of the silicon-germanium layer decreases monotonically from 1.12 eV for pure silicon to 0.67 eV for pure germanium with increasing germanium content in the silicon germanium. In another approach, tensile strain is formed in a single crystal silicon film by heteroepitaxially depositing a silicon layer on a relaxed silicon-germanium layer. In this example, the deposited silicon is distorted because the lattice constant of the heteroepitaxially deposited silicon is constrained to the larger lattice constant of the underlying relaxed silicon germanium. Tensile strained channels typically show increased electron mobility. Also, the compressively distorted channel exhibits increased hole mobility.

これらの例において、ひずみは、格子構造において、シリコン原子を他の原子に取り替えることによって、単結晶シリコン含有物質の中に導入される。この技術は典型的に置換ドーピングと呼ばれる。例えば、ゲルマニウム原子が、そのゲルマニウム原子が置換するシリコン原子より大きいので、単結晶シリコンの格子構造中のシリコン原子のうちのいくつかに対するゲルマニウム原子の置換は、形成される置換的にドープされた単結晶シリコン物質中の圧縮ひずみを生成する。炭素原子が、その炭素原子が置換するシリコン原子より小さいので、炭素を用いた置換ドーピングによって単結晶シリコンに引っ張りひずみを導入することが可能である。さらなる詳細は、New York 2002、Taylor and Francis,「シリコン・ゲルマニウム炭素合金」、頁59〜89、第3章のJuly L.Hoytによる「置換的な炭素の取り込み、及びSi1−y/Si、Si1−x−yGe/Siヘテロ接合の電気特性」において提供されている。ここに、それを、「Hoyt論文」と呼ぶ。しかしながら、非置換的な不純物はひずみを引き起こさない。 In these examples, strain is introduced into the single crystal silicon-containing material by replacing silicon atoms with other atoms in the lattice structure. This technique is typically referred to as substitutional doping. For example, because germanium atoms are larger than the silicon atoms that the germanium atoms substitute, the substitution of germanium atoms for some of the silicon atoms in the lattice structure of the single crystal silicon will result in the substitutionally doped single atoms formed. Generate compressive strain in crystalline silicon material. Since the carbon atom is smaller than the silicon atom that the carbon atom substitutes, tensile strain can be introduced into the single crystal silicon by substitution doping using carbon. For further details, see New York 2002, Taylor and Francis, “Silicon-Germanium Carbon Alloy”, pages 59-89, Chapter 3, Provided by Hoyt in “Substitutional carbon incorporation and electrical properties of Si 1-y C y / Si, Si 1-xy Ge x C y / Si heterojunctions”. Here, it is called “Hoyt paper”. However, non-substituted impurities do not cause distortion.

同様に、電気的ドーパントも、電気的活性化のためにエピタキシャル層に置換的に取り入れられるべきである。ドーパントが堆積するように取り入れられるか、あるいは、基板が所望のレベルの置換及びドーパント活性化を達成するためにアニールされるべきかのいずれが必要である。格子構造にドーパントを取り入れる際、調整させる格子定数のための不純物あるいは電気的ドーパントのイン・サイチュ・ドーピングは、アニーリングが後続するエクス・サイチュ・ドーピングよりもしばしば好まれる。それは、アニーリングがサーマルバジェットを消費するためである。しかしながら、実際上、イン・サイチュの置換的なドーピングは、ドーパントが成膜中に非置換的に組み込まれる傾向によって複雑になる。例えば、ドーパントが、格子構造中のシリコン原子を置換することではなく、シリコン内のドメインかクラスター中の隙間に入って組み組まれる。非置換ドーピングは、例えば、シリコンの炭素ドーピング、シリコン・ゲルマニウムの炭素ドーピング、及び電気的活性ドーパントによる半導体のドーピングを複雑にする。Hoyt論文の第73ページの図3.10において示されたように、従来の堆積方法は、2.3原子%までのイン・サイチュ・ドープされた置換的な炭素含量を有する結晶シリコンを作るために使用された。この原子%は、5.4Å以上の格子間隔及び1.0GPa未満の引張応力に相当する。   Similarly, electrical dopants should be substituted into the epitaxial layer for electrical activation. Either the dopant must be incorporated to deposit or the substrate should be annealed to achieve the desired level of substitution and dopant activation. In incorporation of dopants into the lattice structure, in situ doping of impurities or electrical dopants for adjusting the lattice constant is often preferred over ex situ doping followed by annealing. This is because annealing consumes a thermal budget. In practice, however, in situ substitutional doping is complicated by the tendency of dopants to be incorporated non-substituted during deposition. For example, the dopant is assembled not into the silicon atoms in the lattice structure, but into the gaps in the domains or clusters in the silicon. Non-substituted doping complicates, for example, carbon doping of silicon, carbon doping of silicon germanium, and doping of semiconductors with electrically active dopants. As shown in FIG. 3.10 on page 73 of the Hoyt paper, conventional deposition methods are used to produce crystalline silicon having in situ doped substitutional carbon content up to 2.3 atomic percent. Used for. This atomic% corresponds to a lattice spacing of 5.4 mm or more and a tensile stress of less than 1.0 GPa.

ソース及びドレイン間のシリコン・チャネルに対して圧縮ひずみ、或いは引っ張りひずみを作用させるために、ソース及びドレインのリセスにシリコン含有合金を「ストレッサー」として埋め込むことができる。例えば、ソース及びドレインのリセスにおけるひずみエピタキシャル・シリコン・ゲルマニウム(SiGe)はシリコン・チャネルに圧縮ひずみを形成し、正孔移動度を高めることができる。同様に、ソース/ドレイン・リセスにおける引っ張りひずみを有する炭素不純物添加のシリコン(Si:C)エピタキシャル合金は、チャネルに引っ張りひずみを導入し、電子移動度を向上させることができる。一般的に、チャネルに対するひずみは、CまたはGeのような不純物の濃度と関係がある。言いかえれば、Ge又はCの含有量が高いほど、生成されたひずみが大きい。   In order to apply a compressive strain or tensile strain to the silicon channel between the source and drain, a silicon-containing alloy can be embedded in the source and drain recess as a “stresser”. For example, strained epitaxial silicon germanium (SiGe) at the source and drain recesses can create compressive strain in the silicon channel and increase hole mobility. Similarly, carbon-doped silicon (Si: C) epitaxial alloys having tensile strain at the source / drain recesses can introduce tensile strain into the channel and improve electron mobility. In general, the strain on the channel is related to the concentration of impurities such as C or Ge. In other words, the higher the Ge or C content, the greater the generated strain.

本発明の一側面によれば、選択的に半導体物質を形成する方法が提供される。基板は化学気相成長チャンバ内に提供される。基板は絶縁表面と単結晶半導体表面を含んでいる。単結晶半導体表面はリセスを含んでいる。半導体ストレッサーは、リセスにおいて選択的に形成される。リセス内の半導体ストレッサーの上部が下部より大きいひずみを有し、且つ上部がリセスの側壁へ伸びるように、半導体ストレッサーは傾斜される。   According to one aspect of the present invention, a method for selectively forming a semiconductor material is provided. The substrate is provided in a chemical vapor deposition chamber. The substrate includes an insulating surface and a single crystal semiconductor surface. The single crystal semiconductor surface includes a recess. The semiconductor stressor is selectively formed in the recess. The semiconductor stressor is tilted so that the top of the semiconductor stressor in the recess has a greater strain than the bottom and the top extends to the sidewall of the recess.

本発明の別の一側面によれば、ヘテロエピタキシャル半導体物質を選択的に形成する方法が提供される。半導体物質は、基板のリセスされた単結晶半導体領域の底部及び側壁表面上に形成される。前記底面上の半導体物質のヘテロエピタキシャル膜を残しながら、前記リセスされた領域の側壁表面から半導体物質の一部を選択的に除去する。後に成膜された半導体物質のヘテロエピタキシャル膜が、先に成膜された半導体物質のヘテロエピタキシャル膜と比較して、異なる濃度の、ひずみを引き起こす不純物を含むように、成膜及び選択的除去は繰り返される。   According to another aspect of the invention, a method for selectively forming a heteroepitaxial semiconductor material is provided. The semiconductor material is formed on the bottom and sidewall surfaces of the recessed single crystal semiconductor region of the substrate. A portion of the semiconductor material is selectively removed from the sidewall surface of the recessed region while leaving a heteroepitaxial film of the semiconductor material on the bottom surface. Deposition and selective removal is performed so that the heteroepitaxial film of semiconductor material deposited later contains different concentrations of impurities that cause distortion compared to the heteroepitaxial film of semiconductor material deposited earlier. Repeated.

本発明の別の一側面によれば、リセスに半導体物質を形成する方法が提供される。絶縁領域及びリセスが形成されている基板が提供される。リセスに、ヘテロエピタキシャル・シリコン含有物質のライナー層を形成する。ライナー層は、ひずみを引き起こす不純物を含み、リセスを部分的に埋め込む。そのリセスは、ライナー層上に形成されたフィラーによって埋め込まれる。該フィラーは、ライナー層より低い濃度の不純物を有するシリコン含有物質を含む。   According to another aspect of the present invention, a method for forming a semiconductor material in a recess is provided. A substrate is provided in which an insulating region and a recess are formed. A liner layer of heteroepitaxial silicon-containing material is formed in the recess. The liner layer includes impurities that cause strain and partially fills the recess. The recess is filled with a filler formed on the liner layer. The filler includes a silicon-containing material having a lower concentration of impurities than the liner layer.

本発明の別の一側面によれば、基板中のリセス、ヘテロエピタキシャル・ライナー、フィラー、及びリセスに隣接するトランジスタ・チャネルを有する半導体装置が提供される。ヘテロエピタキシャル・シリコン含有ライナーは、リセスの単結晶側壁表面のすべてを実質的に覆う。ライナーは、格子定数を変更させる不純物を含む。フィラーは、ライナー上に形成され、リセスを埋め込む。フィラーが、ライナーより低い濃度の不純物を有するシリコン含有物質を含む。   According to another aspect of the invention, a semiconductor device is provided having a recess in a substrate, a heteroepitaxial liner, a filler, and a transistor channel adjacent to the recess. The heteroepitaxial silicon-containing liner substantially covers all of the recess single crystal sidewall surfaces. The liner contains impurities that change the lattice constant. A filler is formed on the liner and embeds the recess. The filler includes a silicon-containing material having a lower concentration of impurities than the liner.

本発明の別の一側面によれば、リセス、及びリセスに隣接するトランジスタ・チャネルを有する半導体基板が提供される。そのリセスは、ヘテロエピタキシャル・ストレッサー物質により埋め込まれる。リセス内のストレッサー物質の上部は第1の不純物濃度を有する。また、リセス内のストレッサー物質の下部は第2の不純物濃度を有する。第1の不純物濃度は第2の不純物濃度より高い。また、上部は伸びて、リセスの側壁と接続する。   According to another aspect of the present invention, a semiconductor substrate having a recess and a transistor channel adjacent to the recess is provided. The recess is filled with a heteroepitaxial stressor material. The upper portion of the stressor material in the recess has a first impurity concentration. Also, the lower portion of the stressor material in the recess has a second impurity concentration. The first impurity concentration is higher than the second impurity concentration. The upper part extends to connect to the recess side wall.

本明細書で開示された方法及びシステムの典型的な実施の形態は、説明の目的のためのものに過ぎない添付の図面においても示される。それらの図面では、同様の参照符号は同様の部分を示す。   Exemplary embodiments of the methods and systems disclosed herein are also shown in the accompanying drawings for illustrative purposes only. In the drawings, like reference numerals designate like parts.

用語「不純物」は、ここでは、シリコンのみの場合の半導体格子定数を変更するゲルマニウムまたは炭素のような添加剤を呼ぶために使用される。生成された半導体化合物は、合金、あるいは単にヘテロエピタキシャル膜としてしばしば呼ばれる。「ドーパント」は、リン、ヒ素、ホウ素などのような不純物か電気的ドーパントのいずれかを指すことができる。用語「シリコン含有物質」及び類似の用語は、本明細書では、広範囲内の様々なシリコン含有物質を呼ぶために使用される。そのようなシリコン含有物質は、限定の意味ではないが、シリコン(結晶シリコンを含む)、炭素不純物添加のシリコン(Si:C)、シリコン・ゲルマニウム(SiGe)、及び炭素不純物添加のシリコン・ゲルマニウム(SiGe:C)を含む。本明細書で使用されているように、「炭素不純物添加のシリコン」、「Si:C」、「シリコン・ゲルマニウム」、「SiGe」、「炭素不純物添加のシリコン・ゲルマニウム」、「SiGe:C」、及び同様の用語は、示されている化学元素を様々な比率で、また、オプション的には微量の他の元素を含む物質を指す。例えば、「シリコン・ゲルマニウム」は、シリコン、ゲルマニウム、及びオプション的には他の元素、例えば、炭素のようなドーパント及び電気的活性ドーパントを含む物質である。「Si:C」及び「SiGe:C」のような省略表現の用語は、それ自身が化学量論的な化学式ではなく、従って、示されている元素を特定の比率で含む物質に限定されない。更に、Si:C及びSiGe:Cのような用語は、リン及び炭素不純物添加のシリコン物質がそれぞれ用語Si:C及び用語Si:C:P内に含まれるように、他のドーパントの存在を除外することは意図していない。別に明記されない限り、本明細書では、シリコン含有膜の中で炭素またはゲルマニウムのようなドーパントの割合は、全体の膜又はサブ膜に対する原子百分率(原子%)で表現される。理解されるように、シリコン含有膜の中に、炭素またはゲルマニウムのような不純物ドーパント(電気的ドーパントのような元素を除く)の濃度は、ここに説明されているように、少なくとも約0.3原子%である。しかしながら、当業者は、電気的ドーパントが膜の中のひずみを引き起こし得、よって、そのような膜に含まれることができることを理解するであろう。   The term “impurity” is used herein to refer to an additive such as germanium or carbon that modifies the semiconductor lattice constant in the case of silicon alone. The produced semiconductor compound is often referred to as an alloy or simply a heteroepitaxial film. “Dopant” can refer to either an impurity such as phosphorus, arsenic, boron, or an electrical dopant. The term “silicon-containing material” and similar terms are used herein to refer to various silicon-containing materials within a wide range. Such silicon-containing materials include, but are not limited to, silicon (including crystalline silicon), carbon-doped silicon (Si: C), silicon-germanium (SiGe), and carbon-doped silicon-germanium ( SiGe: C). As used herein, “carbon doped silicon”, “Si: C”, “silicon germanium”, “SiGe”, “carbon doped silicon germanium”, “SiGe: C” , And similar terms, refer to materials that contain the indicated chemical elements in various proportions, and optionally trace amounts of other elements. For example, “silicon germanium” is a material that includes silicon, germanium, and optionally other elements such as dopants such as carbon and electrically active dopants. Abbreviated terms such as “Si: C” and “SiGe: C” are not themselves stoichiometric chemical formulas, and thus are not limited to materials containing the indicated elements in a particular ratio. Further, terms such as Si: C and SiGe: C exclude the presence of other dopants so that phosphorus and carbon doped silicon materials are included within the terms Si: C and the term Si: C: P, respectively. Not intended to do. Unless stated otherwise herein, the proportion of dopants such as carbon or germanium in a silicon-containing film is expressed as an atomic percentage (atomic%) relative to the total film or subfilm. As will be appreciated, the concentration of impurity dopants (excluding elements such as electrical dopants) such as carbon or germanium in the silicon-containing film is at least about 0.3, as described herein. Atomic%. However, those skilled in the art will appreciate that electrical dopants can cause strain in the film and thus can be included in such films.

シリコン含有物質に置換的にドープされたゲルマニウムまたは炭素のような不純物の量は、例えば、X線回折によって不純物添加のシリコン含有物質の垂直の格子間隔を測定し、その後、SiGe合金の場合には単結晶シリコン及び単結晶ゲルマニウム間の直線補間を行うことによりVegard則を適用し、あるいは、Si:C合金内の炭素の場合にはKelires/Berti関係を適用することにより決定されることができる。この技術に関するさらなる詳細はHoyt論文の中で提供されている。二次イオン質量分析(SIMS)は不純物添加のシリコン中の全不純物含量を決定するために使用されることができる。全不純物含量から置換型不純物含量を引くことにより、非置換的な又は格子間の不純物含量を決定することが可能である。別のシリコン含有物質の置換的にドープされた別の元素の量も、同様の方法で決定することができる。   The amount of impurities, such as germanium or carbon, that are substitutionally doped in the silicon-containing material is measured, for example, by X-ray diffraction by measuring the vertical lattice spacing of the doped silicon-containing material and then in the case of SiGe alloys It can be determined by applying the Vegard rule by performing linear interpolation between single crystal silicon and single crystal germanium, or in the case of carbon in a Si: C alloy by applying the Kelires / Berti relationship. Further details on this technique are provided in the Hoyt paper. Secondary ion mass spectrometry (SIMS) can be used to determine the total impurity content in the doped silicon. By subtracting the substitutional impurity content from the total impurity content, it is possible to determine the unsubstituted or interstitial impurity content. The amount of another element that is substitutionally doped with another silicon-containing material can be determined in a similar manner.

「基板(Substrate)」は、この用語がここに使用されているように、その上に成膜されるワークピース、あるいは1つ以上の成膜ガスにさらされた表面の何れかを指す。例えば、一部の実施の形態では、基板は、単結晶シリコンウェーハ、絶縁体上の半導体(SOI)基板、あるいはエピタキシャル・シリコン表面、シリコン・ゲルマニウム表面、又はウェーハ上に堆積したIII-V材料である。ワークピースはウェーハに限定されず、ガラス、プラスチック、あるいは半導体プロセスで使用される別の基板を含む。示している実施の形態では、基板はすでに、2つ以上の異なるタイプの表面を有するようにパターニングされている。一部の実施の形態では、隣接する誘電体あるいは絶縁体上の成膜を最小限にし、より好ましくは回避しながら、シリコン含有膜を、単結晶半導体物質上に選択的に形成する。別の実施の形態で、成膜は、隣接する絶縁体上には非晶質か多結晶物質を堆積するが、単結晶半導体表面上にはエピタキシ堆積が生じる。誘電体または絶縁体材料の実例は、炭素ドープ及びフッ素ドープしたシリコンの酸化物のような低誘電率のものを含む二酸化シリコン、窒化シリコン、金属酸化膜、及び金属ケイ酸塩を含む。   “Substrate”, as the term is used herein, refers to either the workpiece being deposited thereon or the surface exposed to one or more deposition gases. For example, in some embodiments, the substrate is a single crystal silicon wafer, a semiconductor on insulator (SOI) substrate, or an epitaxial silicon surface, a silicon germanium surface, or a III-V material deposited on the wafer. is there. The workpiece is not limited to a wafer, but includes glass, plastic, or another substrate used in semiconductor processes. In the illustrated embodiment, the substrate has already been patterned to have two or more different types of surfaces. In some embodiments, a silicon-containing film is selectively formed on a single crystal semiconductor material while minimizing and more preferably avoiding deposition on adjacent dielectrics or insulators. In another embodiment, the deposition deposits amorphous or polycrystalline material on the adjacent insulator, but epitaxy deposition occurs on the single crystal semiconductor surface. Examples of dielectric or insulator materials include silicon dioxide, silicon nitride, metal oxide, and metal silicates, including those with low dielectric constants such as carbon and fluorine doped silicon oxides.

用語「エピタキシャル」、「エピタキシによって」、「ヘテロエピタキシャル」、「ヘテロエピタキシャルによって」、及び類似の用語は、ここでは、堆積した膜が下にある膜か基板の格子定数を取り入れる、又はそれに従うという方式で結晶基板上に結晶シリコン含有物質の成膜を指すために使用される。堆積した膜の組成が下にある膜か基板のそれとは異なる場合、エピタキシャル成膜はヘテロエピタキシャルである。堆積した膜の組成が下にある膜か基板のそれと同じである場合、エピタキシャル成膜はホモエピタキシャルである。   The terms "epitaxial", "by epitaxy", "heteroepitaxial", "by heteroepitaxial", and similar terms are used herein to take in or follow the lattice constant of the underlying film or substrate. Is used to refer to the deposition of a crystalline silicon-containing material on a crystalline substrate. Epitaxial deposition is heteroepitaxial if the composition of the deposited film is different from that of the underlying film or substrate. Epitaxial deposition is homoepitaxial if the composition of the deposited film is the same as that of the underlying film or substrate.

一部のアプリケーションでは、パターン化された基板は、第1の表面モフォロジを有する第1の表面、及び第2の表面モフォロジを有する第2の表面を有する。表面が同じ元素から作られても、表面のモフォロジ又は結晶化度が異なる場合、表面は異なると考えられる。非晶質及び結晶状態は異なるモフォロジの例である。多結晶のモフォロジは、整然とした結晶の乱雑な配列から成り、よって中程度の秩序を有する結晶構造である。多結晶体中の原子は個々の結晶内では秩序正しいが、結晶それ自体は互いに関して長距離の秩序を欠く。単結晶モフォロジは、高度の長距離秩序を有する結晶構造である。エピタキシャル膜は、面内結晶構造、及び該膜が成長する、典型的に単結晶の基板と同一の配向によって特徴づけられる。これらの物質中の原子は、原子スケールでの比較的長距離にわたって持続する格子状の構造に配列される。非晶質のモフォロジは、原子が一定の周期配列を欠くので、低い秩序の非晶質の構造となる。他のモフォロジは、非晶質及び結晶物質の微結晶及び混合を含む。従って、「非エピタキシャル」は、非晶質、多結晶、微結晶、及びそれらの混合を包含する。ここに使用されているように、「単結晶」あるいは「エピタキシャル」は、トランジスター製造に一般に使用されるように、許容できる数の欠陥を有する顕著に大きな結晶構造を記述するために使用される。膜の結晶化度は、通常非晶質から多結晶、単結晶まで連続的に下がる。低密度の欠陥にもかかわらず、結晶構造は、しばしば単結晶あるいはエピタキシャルであると考えられている。異なるモフォロジに起因しても、及び/又は異なる物質に起因しても2つ以上の異なるタイプの表面を有する混合基板の具体的な例は、限定を意味しないが、単結晶/多結晶、単結晶/非晶質、エピタキシャル/多結晶、エピタキシャル/非晶質、単結晶/誘電体、エピタキシャル/誘電体、導体/誘電体、及び半導体/誘電体を含む。ここに説明される、2つのタイプの表面を有する混合基板上にシリコン含有膜を堆積させる方法は、3つ以上の異なるタイプの表面を有する混合基板にも適用可能である。   In some applications, the patterned substrate has a first surface having a first surface morphology and a second surface having a second surface morphology. Even if the surface is made from the same element, the surface is considered different if the surface has a different morphology or crystallinity. Amorphous and crystalline states are examples of different morphologies. Polymorphic morphology is a crystalline structure that consists of a messy arrangement of orderly crystals and thus has a moderate order. The atoms in a polycrystal are ordered in individual crystals, but the crystals themselves lack long-range order with respect to each other. Single crystal morphology is a crystalline structure with a high degree of long-range order. An epitaxial film is characterized by an in-plane crystal structure and the same orientation as the typically single crystal substrate on which the film is grown. The atoms in these materials are arranged in a lattice-like structure that lasts for a relatively long distance on an atomic scale. Amorphous morphology results in a low-order amorphous structure because the atoms lack a constant periodic arrangement. Other morphologies include microcrystals and mixtures of amorphous and crystalline materials. Thus, “non-epitaxial” includes amorphous, polycrystalline, microcrystalline, and mixtures thereof. As used herein, “single crystal” or “epitaxial” is used to describe a significantly larger crystal structure with an acceptable number of defects, as commonly used in transistor fabrication. The crystallinity of the film usually decreases continuously from amorphous to polycrystalline to single crystal. Despite the low density of defects, the crystal structure is often considered single crystal or epitaxial. Specific examples of mixed substrates having two or more different types of surfaces, whether due to different morphologies and / or due to different materials, are not meant to be limiting, but include monocrystalline / polycrystalline, monocrystalline, Crystal / amorphous, epitaxial / polycrystalline, epitaxial / amorphous, single crystal / dielectric, epitaxial / dielectric, conductor / dielectric, and semiconductor / dielectric. The method described herein for depositing a silicon-containing film on a mixed substrate having two types of surfaces is also applicable to mixed substrates having three or more different types of surfaces.

リセスされたソース/ドレイン領域に臨界厚さ以下の厚さに成長する場合、引っ張りひずみをもつシリコン含有物質は、リセスされたソース/ドレイン領域に隣接するシリコン・チャネルに一軸性の引っ張りひずみを引き起こす。そのような引っ張りひずみ物質は、限定はしないが、炭素不純物添加のシリコン膜(Si:C膜)、及び、炭素不純物添加のシリコン・ゲルマニウム膜(SiGe:C膜)を含む。このSiGe:C膜は、そのゲルマニウム濃度が炭素濃度の約8〜10倍以下であり、強化された電子移動度を引き起こし、それは、またNMOSデバイスにとって特に有益である。これは、ひずみシリコン層をサポートするために緩和シリコン・ゲルマニウムバッファ層を提供する必要をなくす。そのようなアプリケーションでは、電気的に活性のドーパントは、ドーパントソースまたはドーパント前駆体を使用して、イン・サイチュ・ドーピングによって取り入れられる。典型的なn型ドーパントソースは、ホスフィン及びアルシンのような、ヒ素蒸気及びドーパント、水素化物を含む。シリルホスフィン、例えば(HSi)3−xPR、及びシリルアルシン、例えば(HSi)3−xAsRは、リン及びヒ素ドーパントの代替前駆体である。ここでは、xは、0、1、又は2であり、Rxは、H及び/又はジュウテリウム(D)である。リン及びヒ素は、NMOSデバイスのソース及びドレイン領域をドープするのに特に有用である。SbH及びトリメチルインジウムはそれぞれアンチモンとインジウムの代替ソースである。そのようなドーパント前駆体は、下記に説明されるような膜、好ましくは、リン、アンチモン、インジウム、またヒ素不純物添加のシリコン、Si:C、又はSiGe:Cの膜及び合金の準備に有用である。 Tensile strained silicon-containing materials cause uniaxial tensile strain in the silicon channel adjacent to the recessed source / drain region when grown to a sub-critical thickness in the recessed source / drain region. . Such tensile-strained materials include, but are not limited to, carbon-doped silicon films (Si: C films) and carbon-doped silicon-germanium films (SiGe: C films). This SiGe: C film has a germanium concentration of about 8-10 times the carbon concentration and causes enhanced electron mobility, which is also particularly beneficial for NMOS devices. This eliminates the need to provide a relaxed silicon-germanium buffer layer to support the strained silicon layer. In such applications, the electrically active dopant is incorporated by in situ doping using a dopant source or dopant precursor. Typical n-type dopant sources include arsenic vapors and dopants, hydrides, such as phosphine and arsine. Silyl phosphine, for example (H 3 Si) 3-x PR x, and silyl arsine, for example (H 3 Si) 3-x AsR x is an alternative precursor of phosphorus and arsenic dopants. Here, x is 0, 1, or 2, and Rx is H and / or deuterium (D). Phosphorus and arsenic are particularly useful for doping the source and drain regions of NMOS devices. SbH 3 and trimethylindium are alternative sources of antimony and indium, respectively. Such dopant precursors are useful in the preparation of films as described below, preferably phosphorous, antimony, indium, and arsenic doped silicon, Si: C, or SiGe: C films and alloys. is there.

リセスされたソース/ドレイン領域に臨界厚さ以下の厚さに成長した場合、圧縮的にひずませられたシリコン含有物質は、リセスされたソース/ドレイン領域に隣接するシリコン・チャネルに一軸性の圧縮ひずみを引き起こし、PMOSデバイスには特に有益な強化された正孔移動度をもたらす。そのような圧縮的にひずませられた物質は、限定をしないが、シリコン・ゲルマニウム膜(SiGe膜)、及び、ゲルマニウム濃度が炭素濃度の約8〜10倍以上となる炭素不純物添加のシリコン・ゲルマニウム膜(SiGe:C膜)を含む。そのようなアプリケーションでは、電気的に活性のドーパントはドーパントソースまたはドーパント前駆体を使用して、イン・サイチュ・ドーピングによって取り入れられる。典型的なp型ドーパント前駆体は、ホウ素ドーピングのためのジボラン(B)及び三塩化ホウ素(BCl)を含む。Siのための他のp型ドーパントはAl、Ga、In、及びメンデレーエフの元素表のSiの左側の任意の金属を含む。そのようなドーパント前駆体は、下記に説明されるような膜、好ましくは、ホウ素不純物添加のシリコン、SiGe、またSiGe:Cの膜及び合金の準備に役立つ。 When grown to a sub-critical thickness in the recessed source / drain region, the compressively distorted silicon-containing material is uniaxial to the silicon channel adjacent to the recessed source / drain region. It causes compressive strain and provides enhanced hole mobility that is particularly beneficial for PMOS devices. Such compressively distorted materials include, but are not limited to, silicon-germanium films (SiGe films), and carbon-doped silicon / silicon-doped silicon atoms with a germanium concentration of about 8-10 times or more of the carbon concentration. A germanium film (SiGe: C film) is included. In such applications, the electrically active dopant is incorporated by in situ doping using a dopant source or dopant precursor. Typical p-type dopant precursors include diborane (B 2 H 6 ) and boron trichloride (BCl 3 ) for boron doping. Other p-type dopants for Si include Al, Ga, In, and any metal to the left of Si in the Mendeleev element table. Such dopant precursors are useful in the preparation of films as described below, preferably boron-doped silicon, SiGe, or SiGe: C films and alloys.

過度の転位を持たずに、リセスされたソース及びドレイン領域に成長できるSiGeまたはSi:C膜の厚さには制限がある。成長できる膜の厚さは、不純物含量に通常反比例する。現在、一様な組成及び約10〜50nmの範囲内の厚さのSiGe合金は、約40原子%未満のGeを有するSiGe、及び、約3原子%未満のCを有するSi:Cにとって許容可能な転位量で形成されることができる。これらの範囲を越えると、処理温度が転位核形成を抑制するために下がるので、膜の許容できる厚さ及び成長率は劇的に減少する。例えば、典型的には、純粋なGeのほんの僅かな数の単分子層が転位のないままシリコン上で成長することができる。この臨界厚さを越えると、デバイスの性能に有害な、かなりの量の転位が、膜の中で生成される。全面的な高い不純物含量は転位をもたらす場合がある。ここに説明される好ましい実施の形態では、トランジスタ・チャネルに隣接するリセスの側壁にひずみを位置させることにより、ひずみの効果を最大限にしながら、ストレッサー中の全面的な不純物含量を減少させる。   There is a limit to the thickness of the SiGe or Si: C film that can be grown in the recessed source and drain regions without having excessive dislocations. The thickness of the film that can be grown is usually inversely proportional to the impurity content. Currently, SiGe alloys of uniform composition and thickness in the range of about 10-50 nm are acceptable for SiGe having less than about 40 atomic percent Ge and Si: C having less than about 3 atomic percent C It can be formed with a small amount of dislocation. Beyond these ranges, the acceptable thickness and growth rate of the film is dramatically reduced as the processing temperature is lowered to suppress dislocation nucleation. For example, typically only a few monolayers of pure Ge can be grown on silicon without dislocations. Beyond this critical thickness, a significant amount of dislocations are created in the film that are detrimental to device performance. The overall high impurity content can lead to dislocations. In the preferred embodiment described herein, the strain is located on the sidewall of the recess adjacent to the transistor channel, thereby reducing the overall impurity content in the stressor while maximizing the effect of the strain.

露出した半導体のウィンドウに、Si:C、SiGe、及びSiGe:Cのようなシリコン含有物質を含むひずみ膜を形成する技術を開発した。図示した実施の形態では、隣接のチャンネル領域に対する応力を働かせるために、ひずみ膜はリセスされたソース/ドレイン領域に形成され、従って、「ストレッサー」とも呼ばれる。好ましい実施の形態によれば、基板に引き起こされた全面的なひずみに比べて、隣接のトランジスタ・チャネル領域上に引き起こされたひずみを増大させるために、ひずみヘテロエピタキシャル半導体物質は、リセスされたソース/ドレイン領域に堆積される。ストレッサーがリセス内の異なる領域で異なる組成を有するので、ストレッサーは傾斜する。しかし、この傾斜は2つ以上の離散的な膜において連続的、又は段階的でありえる。   A technique for forming a strained film containing a silicon-containing material such as Si: C, SiGe, and SiGe: C on an exposed semiconductor window has been developed. In the illustrated embodiment, a strained film is formed in the recessed source / drain regions to exert stress on adjacent channel regions and is therefore also referred to as a “stresser”. In accordance with a preferred embodiment, the strained heteroepitaxial semiconductor material is formed into a recessed source to increase the strain induced on the adjacent transistor channel region compared to the overall strain induced in the substrate. / Deposited in the drain region. The stressor slopes because the stressor has a different composition in different regions within the recess. However, this gradient can be continuous or stepped in two or more discrete films.

リセス側壁へ伸びる表面に最大のひずみを有する傾斜ストレッサー
図1〜図5Dは1つの実施の形態を例示する。この実施の形態では、ヘテロエピタキシャル・ストレッサー物質の成膜はボトム−アップ方法で行われ、また、最大のひずみが上面にあって、リセスの側壁まで伸びるように傾斜されている。そのような成膜は、例えば、(a)ブランケット成長によってリセスの中にSi:C膜を形成し、そして(b)リセスの底部にヘテロエピタキシャル膜を残すようにリセスの側壁から半導体物質を選択的にエッチングすることによって達成することが可能である。ステップ(b)は、絶縁体上の非エピタキシャル半導体物質を同時にエッチングすることができる。リセスされたソース/ドレイン領域上の目標エピタキシャル膜厚さが達成されるまで、ステップ(a)及び(b)は異なる不純物レベルでオプション的には周期的に繰り返され、従って、異なるレベルのひずみが周期的に繰り返される。代替の実施の形態では、別の成膜技術は基板のリセスに垂直に傾斜するシリコン含有物質を形成するために使用されることができる。
Inclined stressor with maximum strain on surface extending to recess sidewall FIGS. 1-5D illustrate one embodiment. In this embodiment, the heteroepitaxial stressor material is deposited in a bottom-up manner and is tilted so that the maximum strain is on the top surface and extends to the recess sidewalls. Such deposition can be performed, for example, by (a) forming a Si: C film in the recess by blanket growth, and (b) selecting a semiconductor material from the recess sidewall to leave a heteroepitaxial film at the bottom of the recess. This can be achieved by selective etching. Step (b) can simultaneously etch the non-epitaxial semiconductor material on the insulator. Steps (a) and (b) are optionally repeated periodically at different impurity levels until the target epitaxial film thickness on the recessed source / drain regions is achieved, thus different levels of strain are Repeated periodically. In alternative embodiments, another deposition technique can be used to form a silicon-containing material that is tilted perpendicular to the substrate recess.

後続のHF洗浄を伴うドライエッチング及びイン・サイチュ・アニーリングによって、リセスされたソース/ドレイン領域を形成することが可能である。ドライエッチングが使用される実施の形態では、選択的に成膜した約1〜3nmの薄いシリコン・シード膜は、エッチング損傷を減らすのを促進する。シード膜は、さらに先のドーパント注入工程によって引き起こされた損傷を償うのを促進する。一例の実施の形態では、そのようなシード膜は、約700〜800°Cの成膜温度でHCl及び二塩化シランの同時供給を使用して選択的に堆積されうる。   Recessed source / drain regions can be formed by dry etching with subsequent HF cleaning and in situ annealing. In embodiments where dry etching is used, a selectively deposited thin silicon seed film of about 1 to 3 nm facilitates reducing etch damage. The seed film further facilitates compensation for damage caused by previous dopant implantation steps. In one example embodiment, such a seed film may be selectively deposited using a simultaneous supply of HCl and silane dichloride at a deposition temperature of about 700-800 ° C.

一部の実施の形態による周期的なブランケット成膜及びエッチング工程は、図1において提供されるフロー・チャートに示され、また、図2〜図5Dに示す部分的に形成された半導体構造の略図に示されている。以下では、特定の周期的なプロセスによる引っ張りひずみSi:C成膜に関する実施の形態の文脈条件で議論するが、当然のことながら、ここに説明されるリセスのボトム−アップ、傾斜的な埋め込みは、別の技術によってボトム−アップ方法で形成される別のひずみ物質のエピタキシャル膜の形成に使用されることができる。Si:Cの実施の形態は、好ましくは、約0.1〜4原子%、より好ましくは約1〜3原子%の範囲内の置換的な炭素を含み、基板表面の近くに最も高いひずみを有するように傾斜される。好ましい周期的なプロセスは、与えられた膜品質に関して、従来の選択的成膜のために同時のエッチャント及び前駆体を流す場合よりも、Si:Cをより高い炭素濃度で選択的に形成することができ、且つ、ストレッサーの最も高いひずみの部分が、リセスの一番上に位置し、且つ、チャネルに隣接するリセス壁まで伸びることを可能にする。そのことは、当業者は十分に理解するであろう。当然のことながら、いくつかのインプリメンテーションでは、リセス壁は、リセスがエッチングされた後、リセスをライン(内側を覆うことを意味する)するために形成されるエピタキシャル層によって画定されることができる。ここに説明された技術は、リセスされたソース/ドレイン領域に、SiGe及びSiGe:Cのような別のエピタキシャル膜の成膜に使用されることもできる。   A periodic blanket deposition and etching process according to some embodiments is shown in the flow chart provided in FIG. 1 and is a schematic representation of the partially formed semiconductor structure shown in FIGS. Is shown in In the following, the contextual conditions of the embodiments relating to tensile strained Si: C deposition by a specific periodic process will be discussed, but it should be understood that the recess bottom-up, gradient embedding described herein is Can be used to form epitaxial films of other strained materials that are formed in a bottom-up manner by other techniques. The Si: C embodiment preferably includes substitutional carbon in the range of about 0.1-4 atomic percent, more preferably about 1-3 atomic percent, with the highest strain near the substrate surface. Tilted to have. A preferred periodic process is to selectively form Si: C at a higher carbon concentration for a given film quality than to flow simultaneous etchants and precursors for conventional selective deposition. And allows the highest strained portion of the stressor to be located at the top of the recess and extend to the recess wall adjacent to the channel. This will be well understood by those skilled in the art. Of course, in some implementations, the recess wall may be defined by an epitaxial layer formed to line the recess (meaning to cover the inside) after the recess is etched. it can. The techniques described herein can also be used to deposit other epitaxial films such as SiGe and SiGe: C in the recessed source / drain regions.

特に、図1は、その操作ブロック10において、ソース/ドレイン領域をリセスした基板が処理チャンバに配置されることを示している。操作ブロック20に示すように、半導体合金層は、基板上にコンフォーマルに成膜される。1つの実施の形態では、このコンフォーマルな成膜は、基板のいかなる絶縁物領域上には非晶質か多結晶物質、また、ソース/ドレイン領域の底部及び側壁上にはエピタキシャル堆積を残すブランケット成膜である。コンフォーマルな成膜の後に、操作ブロック30に示すように、非晶質か多結晶物質の領域及び側壁エピタキシャル物質はすべて、選択的にエッチングされる。選択的エッチングの後に、操作ブロック40に示すように、リセスされたソース/ドレイン領域中のエピタキシャル膜の目標厚さが達成されているかどうかを決定する。目標厚さが達成されている場合、操作ブロック45において示しているように、プロセスは終了する。目標厚さが達成されていない場合、操作ブロック50に示しているように、プロセスは、炭素のような、ひずみを引き起こす不純物の濃度をインクリメントする又は増大させることにより周期的に継続される。この増大した濃度は、操作ブロック20に示されている半導体合金層の次のコンフォーマルな成膜に使用される。増大した不純物濃度を用いた次のコンフォーマルな成膜の後は、操作ブロック30に示すように、非晶質か多結晶及び側壁エピタキシャル物質の選択的エッチングが続く。この成膜及びエッチング工程の後に、リセスされたソース/ドレイン中のエピタキシャル膜の厚さは、操作ブロック40において示すように、目標厚さが達成されたか否かを決定するために評価される。目標厚さが達成されるまで、この周期的なプロセスが繰り返される。傾斜したストレッサーを達成するために、操作ブロック20〜50は少なくとも2サイクル行われる。   In particular, FIG. 1 shows that in the operational block 10 a substrate with a recessed source / drain region is placed in the processing chamber. As shown in the operation block 20, the semiconductor alloy layer is formed conformally on the substrate. In one embodiment, this conformal deposition is a blanket that leaves an amorphous or polycrystalline material on any insulator region of the substrate and an epitaxial deposit on the bottom and sidewalls of the source / drain regions. Film formation. After conformal deposition, all regions of amorphous or polycrystalline material and sidewall epitaxial material are selectively etched, as shown in operation block 30. After selective etching, as shown in operation block 40, it is determined whether the target thickness of the epitaxial film in the recessed source / drain regions has been achieved. If the target thickness has been achieved, the process ends as indicated in operation block 45. If the target thickness has not been achieved, the process is continued periodically by incrementing or increasing the concentration of impurities that cause strain, such as carbon, as shown in operation block 50. This increased concentration is used for subsequent conformal deposition of the semiconductor alloy layer shown in operation block 20. Subsequent conformal deposition using the increased impurity concentration is followed by selective etching of amorphous or polycrystalline and sidewall epitaxial materials, as shown in operation block 30. After this deposition and etching step, the thickness of the epitaxial film in the recessed source / drain is evaluated to determine whether the target thickness has been achieved, as shown in operation block 40. This periodic process is repeated until the target thickness is achieved. In order to achieve an inclined stressor, the operating blocks 20-50 are performed for at least two cycles.

図2は、シリコンウェーハのような半導体基板100において形成されたパターニングされた絶縁体110を含む典型的な基板の略図を提供する。酸化物に埋め込まれたシャロウ・トレンチ・アイソレーション(STI)の形を有する図示の絶縁体110は、素子分離領域112を画定し、ゲート電極115構造の何れかの側に示された、リセスされたソース/ドレイン領域114に隣接する。ゲート電極115が基板のチャンネル領域117に重なることに留意する。チャネル117、ソース及びドレイン領域114はともに、トランジスターの活性領域を画定する。活性領域は、通常素子分離領域112に囲まれて、隣接装置とのクロストークを防止する。別の構成では、複合トランジスターが素子分離領域に囲まれることもできる。一例では、ゲート構造115の上面を誘電材料で覆うことができる。その後、この表面は、その上の成膜に関してフィールド領域110と同様に作用し、ゲートの上面上の成膜は、フィールド領域上の成膜と同様の結晶化度を有する。ゲート115が誘電材料で覆われていない場合においては、ゲートの表面上には多結晶物質が成長する。その後、多結晶物質は、多結晶物質のイン・サイチュ・エッチングによって除去することができる。しかし、領域110からの物質の除去を保証するために、圧力、ガスフローなどのような異なる条件セットを適用する場合がある。   FIG. 2 provides a schematic illustration of an exemplary substrate that includes a patterned insulator 110 formed in a semiconductor substrate 100, such as a silicon wafer. The illustrated insulator 110 in the form of shallow trench isolation (STI) buried in oxide defines a device isolation region 112 and is recessed as shown on either side of the gate electrode 115 structure. Adjacent to the source / drain region 114. Note that the gate electrode 115 overlaps the channel region 117 of the substrate. Channel 117, source and drain regions 114 together define the active region of the transistor. The active region is usually surrounded by the element isolation region 112 to prevent crosstalk with adjacent devices. In another configuration, the composite transistor may be surrounded by the element isolation region. In one example, the top surface of the gate structure 115 can be covered with a dielectric material. Thereafter, this surface acts in the same manner as the field region 110 with respect to the film formation thereon, and the film formation on the upper surface of the gate has the same degree of crystallinity as film formation on the field region. In the case where the gate 115 is not covered with a dielectric material, a polycrystalline material grows on the surface of the gate. The polycrystalline material can then be removed by in situ etching of the polycrystalline material. However, different condition sets such as pressure, gas flow, etc. may be applied to ensure removal of material from region 110.

以下では、NMOSアプリケーション用の炭素不純物添加のシリコン(Si:C)の具体的な例を伴う実施の形態を説明する。図3に概略的に示しているように、ブランケットSi:C層120、125、130は、好ましくはシリコン前駆体としてトリシランを使用し、さらに炭素前駆体を流すことによって混合基板上に形成される。これは、素子分離領域112上のSi:Cの主に非晶質か多結晶、或いは非エピタキシャル堆積120、リセスされたソース/ドレイン領域114をラインするSi:Cの下部エピタキシャル堆積125、及び側壁エピタキシャル堆積130をもたらす。「ブランケット成膜」は成膜段階で非晶質絶縁体110及び単結晶ソース/ドレイン領域114の両方の上に正味の成膜がなされることを意味することに留意する。エッチャントまたはハロゲン化物が無いことがブランケット成膜プロセスで好まれているが、その場合、成膜は、「非選択的」と考えることもできるが、ある量のエッチャントは、様々な領域上の堆積の厚さの比を合わせるのに望ましい場合がある。そのような小量のエッチャントが望ましい場合の成膜工程は部分的に選択的でありながら、ブランケットであることが可能である。それは、各成膜段階が絶縁体110及び単結晶領域114の両方の上に最終的な成膜を有するためである。   In the following, embodiments with specific examples of carbon-doped silicon (Si: C) for NMOS applications are described. As schematically shown in FIG. 3, blanket Si: C layers 120, 125, 130 are preferably formed on a mixed substrate by using trisilane as the silicon precursor and then flowing a carbon precursor. . This includes Si: C predominantly amorphous or polycrystalline or non-epitaxial deposition 120 on isolation regions 112, Si: C lower epitaxial deposition 125 lined with recessed source / drain regions 114, and sidewalls. Epitaxial deposition 130 is provided. Note that “Blanket deposition” means that a net deposition is made on both the amorphous insulator 110 and the single crystal source / drain regions 114 during the deposition phase. The absence of an etchant or halide is preferred in the blanket deposition process, in which case the deposition can be considered “non-selective”, but a certain amount of etchant is deposited on various areas. It may be desirable to match the thickness ratio. The deposition process where such a small amount of etchant is desired can be a blanket while being partially selective. This is because each deposition step has a final deposition on both the insulator 110 and the single crystal region 114.

一実施の形態によれば、その後、非晶質か多結晶の堆積120及び側壁エピタキシャル堆積130の領域は、選択的にエッチングされ、よって、図4において概略的に示される構造が形成される。別の実施の形態では、側壁領域上の堆積は多結晶又は非晶質の物質でありえる。エピタキシによって堆積された一部のSi:Cが、選択的エッチング中に、リセスされたソース/ドレイン領域114中の下部エピタキシャル層125から除去されるが、少なくとも下部エピタキシャル層125のうちの一部は残る。2つの表面上での成長率の差異により、側壁エピタキシャル層130は異なる結晶面の上に成長し、そして、下部エピタキシャル層125よりもより欠陥になりがちである。当業者は次のことを十分に理解するであろう。即ち、垂直の側壁エピタキシャル層130中の格子間隔は、下部エピタキシャル層125中のそれより小さい。それは2つの表面での成長率の差異をもたらす。従って、側壁エピタキシャル層130は、非エピタキシャル物質120と共に、より容易に除去される。このため、プロセスの各サイクルは、リセス114における大きなボトム−アップ埋め込みを達成するように調整されることができる。図1の議論から十分に理解されるように、各サイクルは、ブランケット・コンフォーマルな成膜20及びリセス側壁からの選択的エッチング30を含む。   According to one embodiment, the regions of amorphous or polycrystalline deposition 120 and sidewall epitaxial deposition 130 are then selectively etched, thus forming the structure schematically shown in FIG. In another embodiment, the deposition on the sidewall region can be a polycrystalline or amorphous material. Some of the Si: C deposited by epitaxy is removed from the lower epitaxial layer 125 in the recessed source / drain regions 114 during the selective etch, but at least some of the lower epitaxial layer 125 is removed. Remains. Due to the difference in growth rates on the two surfaces, the sidewall epitaxial layer 130 tends to grow on different crystal planes and be more defective than the lower epitaxial layer 125. One skilled in the art will fully understand the following. That is, the lattice spacing in the vertical sidewall epitaxial layer 130 is smaller than that in the lower epitaxial layer 125. It results in a difference in growth rate between the two surfaces. Thus, the sidewall epitaxial layer 130 is more easily removed along with the non-epitaxial material 120. Thus, each cycle of the process can be adjusted to achieve a large bottom-up fill in recess 114. As will be appreciated from the discussion of FIG. 1, each cycle includes a blanket conformal deposition 20 and a selective etch 30 from the recess sidewall.

以下にてより詳細に議論されるように、典型的な実施の形態では、気相エッチング・ケミカルは、好ましくは、フッ素、臭素あるいは塩素含有の気相化合物のようなハロゲン化物、及び特にHClまたはClのような塩素ソースを含む。いくつかの実施の形態では、エッチング・ケミカルはさらに、ゲルマニウム・ソース、例えば、モノゲルマン(GeH)、GeCl、有機金属Ge前駆体あるいは固体ソースGeのようなゲルマンを含む。当業者は、同じエッチング・ケミカルがSiGe及びSiGe:C膜にも適していることを認識するであろう。 As will be discussed in more detail below, in an exemplary embodiment, the gas phase etch chemical is preferably a halide, such as fluorine, bromine or chlorine containing gas phase compounds, and particularly HCl or containing chlorine source such as Cl 2. In some embodiments, the etch chemistry further includes a germanium source, eg, germane such as monogermane (GeH 4 ), GeCl 4 , organometallic Ge precursor or solid source Ge. One skilled in the art will recognize that the same etch chemistry is also suitable for SiGe and SiGe: C films.

図4を参照して上記説明した選択的なエッチングプロセスの後に、第2のブランケットSi:C層122、132、135は、図5Aに示すように、混合基板上に堆積される。この第2のブランケットSi:C層122、132、135は、図3に示した第1のブランケットSi:C層120〜130より高い濃度で炭素を含んでいる。一実施の形態によれば、第1のブランケットSi:C層120、125、130の炭素濃度は、約1〜1.5原子%間であり、第2のブランケットSi:C層122、132、135の炭素濃度は、約1.5の原子%より大きく、好ましくは、約1.5〜4原子%の範囲にある。SiGe膜の成長のための別の実施例では、第1のブランケットSiGe層120、125、130のゲルマニウム濃度は、10〜20原子%の範囲にあり、また好ましくは、約15原子%である。第2のブランケットSiGe層122、132、135のゲルマニウム濃度は、20〜100原子%の範囲にあり、また、好ましくは約30〜60原子%の範囲にある。図5Aに示しているように、第2のブランケットSi:C層122、132、135は、非晶質あるいは多結晶のシリコン部分122、側壁エピタキシャル部分132、及びリセス底部部分135を含んでいる。その後、図5Bに示すように、Si:Cのこの第2の膜122、132及び135は、酸化物領域112の非晶質絶縁体110上のSi:C非エピタキシャル部分、及び側壁エピタキシャル層132を除去するために選択的にエッチングされる。別の実施の形態では、側壁堆積は非晶質かあるいは多結晶である。どんな場合も、この実施の形態においては、側壁層は、底部エピタキシャル物質より容易に除去される。   After the selective etching process described above with reference to FIG. 4, second blanket Si: C layers 122, 132, 135 are deposited on the mixed substrate, as shown in FIG. 5A. The second blanket Si: C layers 122, 132, and 135 contain carbon at a higher concentration than the first blanket Si: C layers 120 to 130 shown in FIG. According to one embodiment, the carbon concentration of the first blanket Si: C layers 120, 125, 130 is between about 1-1.5 atomic% and the second blanket Si: C layers 122, 132, The carbon concentration of 135 is greater than about 1.5 atomic percent, preferably in the range of about 1.5 to 4 atomic percent. In another embodiment for the growth of SiGe films, the germanium concentration of the first blanket SiGe layers 120, 125, 130 is in the range of 10-20 atomic percent, and preferably about 15 atomic percent. The germanium concentration of the second blanket SiGe layers 122, 132, 135 is in the range of 20-100 atomic percent, and preferably in the range of about 30-60 atomic percent. As shown in FIG. 5A, the second blanket Si: C layers 122, 132, 135 include amorphous or polycrystalline silicon portions 122, sidewall epitaxial portions 132, and recessed bottom portions 135. Thereafter, as shown in FIG. 5B, this second Si: C film 122, 132, and 135 is formed by Si: C non-epitaxial portions on the amorphous insulator 110 in the oxide region 112 and the sidewall epitaxial layer 132. Is selectively etched to remove. In other embodiments, the sidewall deposition is amorphous or polycrystalline. In any case, in this embodiment, the sidewall layer is more easily removed than the bottom epitaxial material.

図1の決定ブロック40に示すように、エピタキシャルSi:C膜の目標厚さがリセスされたソース/ドレイン領域114上に達するまで、逐次的により高い炭素濃度を有するSi:C層のブランケット成膜、及びそれに続く選択的なエッチング処理を含む周期的なプロセスが繰り返される。この周期的なプロセスは、第2のサイクルのブランケットSi:C膜122、132及び135の成膜を示す図5Aに概略的に示され、また、第2のサイクルの非晶質か多結晶Si:C層122及び側壁エピタキシャル層132をエッチングして、より増大した厚さを有する底部を覆うエピタキシャルSi:Cを残す図5Bにも示されている。増大する厚さを有する底部を覆うエピタキシャルSi:Cは、リセスされたソース/ドレイン領域114中の離散的な傾斜膜125及び135を含んでいる。図5Cは、エピタキシャル埋め込みされたソース/ドレイン領域114を残す更なるサイクルの結果を示す。図5Cには、離散的な選択的に傾斜されたエピタキシャル層の最上層145は、酸化物領域110とほぼ同一平面上である。1つの更なるサイクルとして示されたが、当業者は、リセスされたソース/ドレイン領域114を埋め込むために、追加のサイクルが行われ得ることを認識するであろう。   As shown in decision block 40 of FIG. 1, a blanket deposition of a Si: C layer having a higher carbon concentration sequentially until the target thickness of the epitaxial Si: C film reaches the recessed source / drain region 114. , And subsequent periodic processes including selective etching. This periodic process is schematically illustrated in FIG. 5A, which illustrates the deposition of a second cycle blanket Si: C film 122, 132, and 135, and the second cycle of amorphous or polycrystalline Si. : C layer 122 and sidewall epitaxial layer 132 are also etched in FIG. 5B, leaving the epitaxial Si: C covering the bottom with increased thickness. Epitaxial Si: C covering the bottom with increasing thickness includes discrete graded films 125 and 135 in recessed source / drain regions 114. FIG. 5C shows the result of a further cycle that leaves the epitaxially buried source / drain regions 114. In FIG. 5C, the top layer 145 of the discrete selectively tilted epitaxial layer is substantially coplanar with the oxide region 110. Although shown as one further cycle, those skilled in the art will recognize that additional cycles may be performed to fill the recessed source / drain regions 114.

図5Cは3つの離散的な傾斜された膜を示しているが、当業者は、他の実施の形態の中で、酸化物領域とほぼ同一平面上の上面を有するエピタキシャル埋め込みされたソース/ドレイン領域を達成するために、より多い又はより少ない数の離散的な傾斜された膜が可能であることを十分に理解するであろう。当然のことながら、別の実施の形態では、離散的な傾斜されたエピタキシャル層125、135、145は、高いソース/ドレイン領域114として選択的に形成されることができる。図5Cに示すように、形成された各膜は、少なくともリセスされた領域114の側壁表面の一部を覆う。別の実施例によれば、膜125、135及び145などは、次第により高い炭素濃度を有する連続的に堆積された膜によって、連続的に傾斜された膜を形成することができる。例えば、各層は、堆積されるままに傾斜されることができ、及び/又は、後の熱処理は拡散によってこの傾斜を平らにすることができる。傾斜された膜が連続的か、それとも、段階的かに拘わらず、リセスされた領域14内の最も高いひずみは、リセスの一番上にあり(ウェーハの表面とほぼ同一平面上)、また、傾斜されたエピタキシャル層125、135及び145などの各々は、チャネルに隣接するリセス側壁まで伸びる。従って、側壁でさえ、傾斜は、側壁から遠ざかって伸びてゆき、水平であるというよりむしろ主に垂直である。上で述べたように、いくつかの構成では、リセス側壁は、オプションのリセス洗浄あるいは熱的平滑化のステップを有するエッチング工程によって画定される。別の構成では、リセス側壁は、薄いエピタキシャル層のようなライニング層によって画定される。傾斜された構造の堆積された各膜は約1〜100nmの厚さを有する。別の実施の形態によれば、堆積された各膜の厚さは約3〜50nmである。また別の実施の形態によれば、堆積された膜それぞれの厚さは約3〜5nmである。いくつかの実施の形態では傾斜されたエピタキシャル層の各々は同じ厚さを有する。別の実施の形態では、傾斜されたエピタキシャル層は異なる相対的な厚さを有する。   Although FIG. 5C shows three discrete graded films, those skilled in the art will recognize that, in other embodiments, the epitaxially buried source / drains have a top surface that is substantially flush with the oxide region. It will be appreciated that a greater or lesser number of discrete tilted membranes are possible to achieve the region. Of course, in another embodiment, discrete graded epitaxial layers 125, 135, 145 can be selectively formed as high source / drain regions 114. As shown in FIG. 5C, each formed film covers at least a part of the sidewall surface of the recessed region 114. According to another embodiment, the films 125, 135, 145, etc. can form a continuously graded film with a continuously deposited film having progressively higher carbon concentrations. For example, each layer can be tilted as deposited, and / or a subsequent heat treatment can flatten this tilt by diffusion. Whether the tilted film is continuous or stepped, the highest strain in the recessed region 14 is at the top of the recess (almost flush with the surface of the wafer), and Each of the graded epitaxial layers 125, 135, 145, etc. extends to a recess sidewall adjacent to the channel. Thus, even on the sidewalls, the slope extends away from the sidewalls and is primarily vertical rather than horizontal. As noted above, in some configurations, the recess sidewalls are defined by an etching process having an optional recess cleaning or thermal smoothing step. In another configuration, the recess sidewall is defined by a lining layer, such as a thin epitaxial layer. Each deposited film of graded structure has a thickness of about 1-100 nm. According to another embodiment, the thickness of each deposited film is about 3-50 nm. According to another embodiment, the thickness of each deposited film is about 3-5 nm. In some embodiments, each of the graded epitaxial layers has the same thickness. In another embodiment, the graded epitaxial layer has a different relative thickness.

選択的形成工程は、図5Dに示すように、誘電性の領域から堆積した物質を除去し、オプションのキャッピング層150を形成するために、ブランケット成膜及び選択的エッチングの追加のサイクルをさらに含むことができる。キャッピング層150は、不純物または電気的ドーパントを有してもよく、有しなくてもよい。例えば、オリジナルの基板表面、及びソース/ドレイン領域114間のチャネル117上にある、高いソース/ドレイン領域114の部分は、この部分が、チャネル117のレベルの上にあって、チャネルに対するひずみに寄与しないため、炭素を含有しないことができる。従って、オプションのキャッピング層150は、Si、SiGe、SiGe:C、あるいはSi:Cから形成されることができ、また、コンタクト・ケイ素化のために割増のSiを供給する役目をすることができる。一実施の形態では、キャッピング層150はSi、SiGe、SiGe:C、あるいはSi:Cにより形成されるが、膜125、135、145はSi:Cにより形成されることができる。別の実施の形態では、キャッピング層150がSi、SiGe、SiGe:C、あるいはSi:Cにより形成されるが、膜125、135、145はSiGeにより形成されることができる。典型的な実施の形態では、形成された傾斜したSi:C層はオプション的には電気的活性ドーパント、特に、リンあるいはヒ素のようなNMOSデバイスに適するドーパントを含む。   The selective formation process further includes additional cycles of blanket deposition and selective etching to remove deposited material from the dielectric region and form an optional capping layer 150, as shown in FIG. 5D. be able to. The capping layer 150 may or may not have impurities or electrical dopants. For example, the portion of the high source / drain region 114 that is on the original substrate surface and the channel 117 between the source / drain regions 114 is above the level of the channel 117 and contributes to strain on the channel. Therefore, it can contain no carbon. Thus, the optional capping layer 150 can be formed from Si, SiGe, SiGe: C, or Si: C and can serve to supply additional Si for contact silicidation. . In one embodiment, the capping layer 150 is formed of Si, SiGe, SiGe: C, or Si: C, but the films 125, 135, and 145 can be formed of Si: C. In another embodiment, the capping layer 150 is formed of Si, SiGe, SiGe: C, or Si: C, but the films 125, 135, 145 can be formed of SiGe. In an exemplary embodiment, the formed graded Si: C layer optionally includes an electrically active dopant, particularly a dopant suitable for NMOS devices such as phosphorus or arsenic.

一実施の形態では、温度ランプ/安定化時間を同時に最小限にしながら、高濃度の置換的炭素及び電気的活性ドーパントを維持することを促進するために、基板温度は、少なくとも図1のエッチング段階30において、好ましくは、低く維持され、例えば、約350〜700℃の範囲に維持される。低温をエッチングに使用することは、さらに、電気的活性ドーパント原子がエッチング中に非活性化される可能性を低減する。例えば、Clガスを用いたエッチングは、エッチング温度を下げることを好都合に可能にし、それにより、置換的炭素及び電気的活性ドーパントを維持するのを促進する。エッチング段階での低温は、低温で達成される高いドーパントの取り込みをうまく利用しながら、成膜段階の温度と大よそ一致することを可能にする。エッチング速度は、エッチング段階で例えば、GeH、GeCl、有機金属Ge前駆体、及び固体ソースGeのようなゲルマニウム・ソースを含めることにより、あるいは、エッチング段階で温度をフラッシュ・ランピング(Flash Ramping)してスループットを改善することにより、スループットを犠牲にせずに、これらの低温を可能にするように増大されることができる。セットポイント温度が複数のサイクルにわたって例えば±10℃以内で比較的一定のままである等温処理は、スループットを改善し、温度のランピング及び安定化の時間を最小限にする。同様に、ブランケット成膜及びエッチング工程の両方とも好ましくは、互いの圧力セットポイントが±20Torr以内で等圧である。等温及び/又は等圧の条件は、ランプ及び安定化の時間を回避してよりよいスループットを促進する。 In one embodiment, the substrate temperature is at least the etching step of FIG. 1 to facilitate maintaining a high concentration of substitutional carbon and electrically active dopants while simultaneously minimizing the temperature ramp / stabilization time. At 30, it is preferably kept low, for example in the range of about 350-700 ° C. Using a low temperature for etching further reduces the likelihood that electrically active dopant atoms will be deactivated during etching. For example, etching with Cl 2 gas advantageously allows the etching temperature to be lowered, thereby facilitating maintenance of substitutional carbon and electrically active dopants. The low temperature during the etching stage allows to roughly match the temperature during the deposition stage, taking advantage of the high dopant incorporation achieved at low temperatures. The etch rate can be determined by including a germanium source such as GeH 4 , GeCl 4 , organometallic Ge precursor, and solid source Ge at the etch stage, or flash ramping the temperature at the etch stage. Thus, by improving throughput, these low temperatures can be increased without sacrificing throughput. An isothermal process in which the setpoint temperature remains relatively constant, for example within ± 10 ° C., over multiple cycles improves throughput and minimizes temperature ramping and stabilization times. Similarly, both the blanket deposition and etching steps are preferably isobaric with the pressure setpoint of each other within ± 20 Torr. Isothermal and / or isobaric conditions avoid lamp and stabilization times and promote better throughput.

図1に示すように、ブランケット成膜を行ってから選択的エッチングを行う2段階の工程は、ソース/ドレイン・リセスを埋め込むエピタキシャル膜の目標厚さが達成されるまで、オプション的には周期的に繰り返される。1サイクル及び安定化のための典型的なプロセス・パラメータは、下記のテーブルAに要約されている。テーブルAは、典型的な動作点、及び括弧の中の好ましい動作範囲の両方をリストしている。テーブルAから明白なように、チャンバ温度、チャンバ圧力、及びキャリヤーガス流量のようなプロセス条件は、好ましくは、成膜及びエッチング段階において実質的に同様であり、それによって、スループットを上げることを可能にする。従って、下記の例は1サイクルの両段階に等温・等圧の条件を使用する。他のパラメーターは、異なる不純物濃度を有する、後続して堆積された膜に使用される。例えば、より高い不純物濃度を有する層を堆積するために、Si及びC前駆体のフローは異なってもよく、あるいは、チャンバ温度は調節されてもよい。   As shown in FIG. 1, the two-step process of blanket deposition followed by selective etching is optionally periodic until the target thickness of the epitaxial film that fills the source / drain recess is achieved. Repeated. Typical process parameters for one cycle and stabilization are summarized in Table A below. Table A lists both typical operating points and preferred operating ranges in parentheses. As is apparent from Table A, process conditions such as chamber temperature, chamber pressure, and carrier gas flow rate are preferably substantially similar during the deposition and etching steps, thereby increasing throughput. To. Thus, the following example uses isothermal and isobaric conditions for both stages of a cycle. The other parameters are used for subsequently deposited films with different impurity concentrations. For example, to deposit a layer with a higher impurity concentration, the flow of Si and C precursors may be different, or the chamber temperature may be adjusted.

テーブルAは、図1〜図5Dに関して上記議論されたように、リセスされたソース/ドレイン領域にエピタキシャルSi:C膜を形成させるための典型的なプロセス・パラメータを提供している。テーブルAの中で提供されているパラメーターを使用すれば、リセスされたソース/ドレイン領域において選択的に形成されたエピタキシャルSi:C:P膜に関して、好ましくは約4〜11nm/minの間にあり、より好ましくは、約8〜11nm/minの間にある純成長率を達成することが可能である。さらに、Kelires/Berti関係の適用によって決定されるような3.5%までの置換的炭素、及び約0.4〜2mΩ cmの固有抵抗を有するSi:C:P薄膜を達成することも可能である。成膜条件の操作によって、別の膜物性を得ることは可能である。当業者は、成膜条件が後続の成膜のために典型的に調節されることを理解するであろう。 Table A provides typical process parameters for forming an epitaxial Si: C film in the recessed source / drain regions, as discussed above with respect to FIGS. 1-5D. Using the parameters provided in Table A, it is preferably between about 4-11 nm / min for epitaxial Si: C: P films selectively formed in recessed source / drain regions. More preferably, it is possible to achieve a net growth rate of between about 8-11 nm / min. It is also possible to achieve Si: C: P thin films with up to 3.5% substitutional carbon and a resistivity of about 0.4-2 mΩcm as determined by the application of the Kelires / Berti relationship. is there. It is possible to obtain other film properties by manipulating the film forming conditions. One skilled in the art will understand that deposition conditions are typically adjusted for subsequent deposition.

ここに開示されているエッチング工程では、エピタキシャルSi:Cは、各エッチング段階において約10:1〜30:1の範囲内のエッチング選択比で非晶質又は多結晶のSi:Cより著しく遅くエッチングされる。側壁エピタキシャル物質も、エッチング段階において優先的に除去される。好ましい実施の形態では、周期的な成膜及びエッチング工程の条件は、リセスされたエピタキシャルソース/ドレイン領域114に、特にリセス114の底面上に、各サイクルにおいて純成長を達成する一方で、非晶質絶縁体110上の純成長を低減する、又は無くすように調整される。この周期的なプロセスは、成膜及びエッチング反応が同時に発生する従来の選択的成膜工程から区別可能である。   In the etching process disclosed herein, epitaxial Si: C etches significantly slower than amorphous or polycrystalline Si: C with an etch selectivity in the range of about 10: 1 to 30: 1 at each etching stage. Is done. Sidewall epitaxial material is also preferentially removed during the etching stage. In a preferred embodiment, the conditions of the periodic deposition and etching steps are such that amorphous growth is achieved in each cycle on the recessed epitaxial source / drain region 114, particularly on the bottom surface of the recess 114, while in each cycle. Adjustments are made to reduce or eliminate net growth on the insulator 110. This periodic process is distinguishable from the conventional selective film formation process in which film formation and etching reaction occur simultaneously.

下記のテーブルB及びCは、テーブルAのレシピに類似するレシピを使用した場合の成膜、エッチングの持続時間、及び得られた膜の厚さの2つの例を挙げている。2つのレシピは、Siの分圧を増大させ、エッチャント分圧を最適化することにより、成膜及びエッチング速度の両方を調整するように違った風にチューニングされている。 Tables B and C below list two examples of film formation, etching duration, and resulting film thickness using a recipe similar to the recipe in Table A. The two recipes are tuned differently to adjust both deposition and etch rates by increasing the Si 3 H 8 partial pressure and optimizing the etchant partial pressure.

前述したように、他の実施例では、上記説明された周期的なブランケット成膜/選択エッチング工程の代わりに、別の選択的成膜技術が、ボトム−アップ埋め込みの方法でリセスに傾斜されたストレッサーを形成するために使用されてもよい。 As described above, in another embodiment, instead of the periodic blanket deposition / selective etch process described above, another selective deposition technique is recessed into the recess in a bottom-up buried manner. It may be used to form a stressor.

最大のひずみライニング・リセスを有する逆行ストレッサー
図6は、その操作ブロック300において、リセスを有する基板が提供されることを示している。図6の操作ブロック310に示しているように、基板のリセスの単結晶表面はヘテロエピタキシャルひずみライナーによってラインされている。リセスをラインした後、ラインされたリセスは、操作ブロック320に示すように、ひずみライナーと比較して減少したひずみを有する物質によって埋め込まれる。
Retrograde Stressor with Maximum Strain Lining Recess FIG. 6 shows that in its operational block 300, a substrate with a recess is provided. As shown in operation block 310 of FIG. 6, the single crystal surface of the substrate recess is lined by a heteroepitaxial strain liner. After the recess is lined, the lined recess is filled with a material having a reduced strain compared to the strain liner, as shown in operation block 320.

図7及び図8は、図6の方法の実施の形態を示している。図7は、シリコンウェーハのような半導体基板200において形成されたパターニングされた絶縁体210を含む典型的な基板の略図を提供する。示している絶縁体210は、酸化物によって埋め込まれたSTIの形で素子分離領域212を画定し、また、ゲート電極215構造のいずれか一側に示された、リセスされたソース/ドレイン領域214に隣接する。該ゲート電極215構造は基板200のチャンネル領域217に重なる。例示する目的のために、絶縁体210は、全リセス表面が単結晶シリコンによって画定されるように、リセスされたソース/ドレイン領域214から分離されて示されている。しかしながら、理解されるように、別の構成では、いくつかのリセス表面は、図2に示したように絶縁体材料によって画定されることができる。SiGe、SiGe:C、及びSi:Cのようなヘテロエピタキシャル・シリコン含有物質のライナー層225は、図7に示しているように、さらに絶縁物領域210をも有する基板200のリセスされたソース/ドレイン領域214に形成される。ヘテロエピタキシャル・ライナー層225は、好ましくは、リセスされたソース/ドレイン領域214の単結晶表面上に選択的に、且つ、ヘテロエピタキシ的に形成される。   7 and 8 show an embodiment of the method of FIG. FIG. 7 provides a schematic representation of an exemplary substrate that includes a patterned insulator 210 formed in a semiconductor substrate 200, such as a silicon wafer. The illustrated insulator 210 defines an isolation region 212 in the form of an STI buried with oxide, and a recessed source / drain region 214, shown on either side of the gate electrode 215 structure. Adjacent to. The gate electrode 215 structure overlaps the channel region 217 of the substrate 200. For illustrative purposes, the insulator 210 is shown separated from the recessed source / drain regions 214 such that the entire recessed surface is defined by single crystal silicon. However, as will be appreciated, in other configurations, some recess surfaces may be defined by an insulator material as shown in FIG. A liner layer 225 of heteroepitaxial silicon-containing material, such as SiGe, SiGe: C, and Si: C, may be used to provide a recessed source / recess of substrate 200 that also has an insulator region 210, as shown in FIG. A drain region 214 is formed. The heteroepitaxial liner layer 225 is preferably formed selectively and heteroepitaxy on the single crystal surface of the recessed source / drain region 214.

別の実施の形態によれば、ヘテロエピタキシャル・ライナー層225は、図1〜図5Dに関して上記説明されたように、絶縁物領域及びリセスされたソース/ドレイン領域を有する混合基板上に、SiGe、SiGe:C、又はSi:Cのようなシリコン含有物質のブランケット膜を選択的に形成させ、そして、形成されたシリコン含有物質が、リセスされたソース/ドレイン領域においてのみ残るようにブランケット膜を選択的にエッチングすることにより形成されることができる。当業者は、このシリコン含有物質のブランケット膜が素子分離領域212上では実質的に非晶質か、多結晶又は非エピタキシャル物質であり、リセスされた領域214の底面上ではエピタキシャル物質であることを理解するであろう。図7に示しているように、リセスされた領域214の単結晶側壁も、シリコン含有物質のヘテロエピタキシャル・ライナー層225によって覆われている。リセスされた領域214の底面上のエピタキシャル物質及び側壁上のエピタキシャル物質は、ともにリセスされた領域214のヘテロエピタキシャル・ライナー層225を構成する。選択的エッチングの後、ヘテロエピタキシャル・ライナー層225だけがリセスされたソース/ドレイン領域214に残る。   According to another embodiment, the heteroepitaxial liner layer 225 is formed on a mixed substrate having an insulator region and a recessed source / drain region, as described above with respect to FIGS. A blanket film of a silicon-containing material such as SiGe: C or Si: C is selectively formed, and the blanket film is selected so that the formed silicon-containing material remains only in the recessed source / drain regions. It can be formed by etching. Those skilled in the art will recognize that the blanket film of silicon-containing material is substantially amorphous, polycrystalline or non-epitaxial material on the isolation region 212 and epitaxial material on the bottom surface of the recessed region 214. You will understand. As shown in FIG. 7, the single crystal sidewalls of the recessed region 214 are also covered by a heteroepitaxial liner layer 225 of silicon-containing material. The epitaxial material on the bottom surface of the recessed region 214 and the epitaxial material on the sidewall together constitute a heteroepitaxial liner layer 225 in the recessed region 214. After selective etching, only the heteroepitaxial liner layer 225 remains in the recessed source / drain region 214.

図7に示しているように、ヘテロエピタキシャル・ライナー層225は、ヘテロエピタキシャル・ライナー層225がリセスされた領域214の側壁表面及び底面をすべて覆うように、リセスされた領域214をラインする。好ましくは、このヘテロエピタキシャル・ライナー層225は、リセスされた領域214の露出したシリコン上に実質的に一様に堆積される。ヘテロエピタキシャル・シリコン含有物質のヘテロエピタキシャル・ライナー層225は、約350〜1000℃の範囲内の温度で、好ましくは約400〜800℃の範囲内の温度で形成されることができる。別の実施の形態では、エピタキシャル・シリコン含有物質は、約400〜750℃の範囲内の温度で、好ましくは約450〜650℃の範囲内の温度で形成される。別の実施の形態によれば、ヘテロエピタキシャル・ライナー層225は、リセスされた領域214の底面及び側面から離れるにつれ減少するひずみを引き起こす不純物濃度を有する傾斜膜であり得る。傾斜は離散的あるいは連続的であり得る。   As shown in FIG. 7, the heteroepitaxial liner layer 225 lines the recessed region 214 so as to cover all the sidewall surfaces and bottom surfaces of the recessed region 214 where the heteroepitaxial liner layer 225 is recessed. Preferably, the heteroepitaxial liner layer 225 is deposited substantially uniformly on the exposed silicon in the recessed region 214. The heteroepitaxial liner layer 225 of heteroepitaxial silicon-containing material can be formed at a temperature in the range of about 350-1000 ° C, preferably at a temperature in the range of about 400-800 ° C. In another embodiment, the epitaxial silicon-containing material is formed at a temperature in the range of about 400-750 ° C, preferably at a temperature in the range of about 450-650 ° C. According to another embodiment, the heteroepitaxial liner layer 225 may be a graded film having an impurity concentration that causes strain to decrease with distance from the bottom and sides of the recessed region 214. The slope can be discrete or continuous.

その後、図8に示すように、リセスされたソース/ドレイン領域214上の目標厚さが達成されるまで、リセスされた領域214の残存の部分は、フィラー260によって埋め込まれる。フィラー260は、より低い濃度のGeまたはCのような不純物を有するエピタキシャル物質を含む。該不純物は、ヘテロエピタキシャル・ライナー層225にひずみを導入する。一実施の形態によれば、フィラー260はシリコンを含む。図8に示した実施の形態では、フィラー260は、フィラー260の上面が絶縁体210の上面と実質的に同一平面上にあるように、絶縁体210とチャンネル領域217との間のリセスを埋め込む。しかしながら、当業者は、この目標厚さが絶縁体210の上面より低く、又は高くあり得ることを容易に理解するであろう。当業者は、ヘテロエピタキシャル・ライナー225及び緩和されたひずみフィラー260によって形成されたストレッサーを用いて埋め込まれた、リセスされたソース/ドレイン領域214は、一様なシリコン合金を用いた従来のストレッサーより安定していることを十分に理解するであろう。それは、ストレッサーのGeまたはCのようなひずみを引き起こす不純物が全体的に減少した濃度を有するためである。この構造は、相変わらず、チャネル217の端に望ましい高いレベルのひずみを提供する。例えば、SiGeを含むヘテロエピタキシャル・ライナー層225に関して、Ge含有量は、典型的には20〜50原子%であり、また、フィラー260のGe含有量は、好ましくは、約20原子%以下である。Si:CライナーのC含有量は、典型的には0.5〜4原子%であり、また、フィラー260のC含有量は、好ましくは、約1原子%未満であり、且つ、ライナー層225のC含量以下である。図8に示しているように、オプションのキャップ層250は、埋め込まれたソース/ドレイン領域214上に、好ましくは選択的な成膜技術によって形成されることができる。一実施の形態では、キャップ層250は、Si、SiGe、SiGe:C、又はSi:Cから形成される。キャップ層250は、好ましくは、エピタキシャル物質225のライナー層より低い不純物濃度を有する。   Thereafter, as shown in FIG. 8, the remaining portion of the recessed region 214 is filled with filler 260 until the target thickness on the recessed source / drain region 214 is achieved. Filler 260 includes an epitaxial material having a lower concentration of impurities such as Ge or C. The impurities introduce strain into the heteroepitaxial liner layer 225. According to one embodiment, the filler 260 includes silicon. In the embodiment shown in FIG. 8, the filler 260 embeds a recess between the insulator 210 and the channel region 217 such that the top surface of the filler 260 is substantially coplanar with the top surface of the insulator 210. . However, one skilled in the art will readily appreciate that this target thickness can be lower or higher than the top surface of the insulator 210. Those skilled in the art will recognize that the recessed source / drain regions 214 embedded using the stressor formed by the heteroepitaxial liner 225 and the relaxed strain filler 260 are more than the conventional stressor using a uniform silicon alloy. You will fully understand that it is stable. This is because impurities that cause strain, such as stressor Ge or C, have an overall reduced concentration. This structure still provides the desired high level of strain at the end of the channel 217. For example, for a heteroepitaxial liner layer 225 comprising SiGe, the Ge content is typically 20-50 atomic percent, and the Ge content of filler 260 is preferably about 20 atomic percent or less. . The C content of the Si: C liner is typically 0.5-4 atomic percent, and the C content of the filler 260 is preferably less than about 1 atomic percent and the liner layer 225 Or less of the C content. As shown in FIG. 8, an optional cap layer 250 can be formed on the buried source / drain regions 214, preferably by a selective deposition technique. In one embodiment, the cap layer 250 is formed from Si, SiGe, SiGe: C, or Si: C. The cap layer 250 preferably has a lower impurity concentration than the liner layer of the epitaxial material 225.

リセス側壁に最大ひずみを有する逆行ストレッサー
図9は、その操作ブロック400において、リセスを有する基板が提供されることを示している。操作ブロック410に示しているように、基板のリセスの単結晶表面はヘテロエピタキシャルひずみライナーによってラインされる。リセスをラインした後、操作ブロック420に示すように、リディストリビューション・アニーリングはリセスの下部コーナーにファセットを形成するために行われる。その後、操作ブロック430に示すように、リセスは、ひずみライナーと比較して緩和されたひずみを有する物質により埋め込まれる。
FIG. 9 shows that in its operational block 400, a substrate with a recess is provided. As shown in operational block 410, the single crystal surface of the substrate recess is lined by a heteroepitaxial strain liner. After the recess is lined, as shown in operation block 420, redistribution annealing is performed to form facets in the lower corners of the recess. Thereafter, as shown in operational block 430, the recess is embedded with a material having a relaxed strain as compared to the strain liner.

ライナー層は、エピタキシャル・ライナー層物質のリディストリビューション、即ち、該物質がリセスの側壁のコーナーへ移動するためにアニーリングされることができる。典型的には、そのようなアニーリングは、ファセットの横断側面形状を有するように、エピタキシャル物質を先細りにする。アニーリングされたエピタキシャル物質は、トップよりもリセスの底部において概ねより広い。好ましくはリセスの側壁表面をすべて実質的に覆うアニーリングされたエピタキシャル物質は、隣接のトランジスタ・チャネルに横方向のひずみを作用する。   The liner layer can be annealed to redistribute the epitaxial liner layer material, i.e., to move the material to the corners of the recess sidewalls. Typically, such annealing causes the epitaxial material to taper to have a faceted cross-sectional shape. The annealed epitaxial material is generally wider at the bottom of the recess than at the top. Preferably, the annealed epitaxial material that substantially covers all of the recess sidewall surfaces exerts lateral strain on adjacent transistor channels.

図10及び図11は、図9の方法を示す。選択的な成膜技術、あるいは周期的なブランケット成膜/選択的エッチング、又は非選択的成膜及びパターニングの何れによってでもよいが、ライナー層225が図7に示さす構造に堆積された後、基板200は、約600〜1100℃に熱されることによりアニーリングされる。一実施の形態では、基板は、約650〜900℃の温度でアニーリングされる。別の実施の形態では、アニーリング温度が、約725〜775℃の間にある。当業者は所望のリディストリビューションを達成するために選択された温度に応じて、適切なアニーリング時間を容易に決定することができる。くさび形のヘテロエピタキシャル物質230が環を形成するようにリセス214が半導体物質内に完全に画定されたものとして示されたが、当業者は、図2〜図5Dの実施の形態について示したように、素子分離物質によって1つ以上の側壁表面を画定することができることを認識するであろう。上記説明された実施の形態に関して留意されたように、リセスされた領域214の側壁は、側壁を形成するエッチングによって、後続の洗浄、又は丸み付けステップ、或いは、薄いエピタキシャル層のようなさらなるライニング膜(図示せず)によって、画定されることができる。   10 and 11 show the method of FIG. After the liner layer 225 is deposited on the structure shown in FIG. 7, it can be either selective deposition techniques, or periodic blanket deposition / selective etching, or non-selective deposition and patterning. The substrate 200 is annealed by being heated to about 600 to 1100 ° C. In one embodiment, the substrate is annealed at a temperature of about 650-900 ° C. In another embodiment, the annealing temperature is between about 725-775 ° C. One skilled in the art can readily determine an appropriate annealing time, depending on the temperature selected to achieve the desired redistribution. Although the recesses 214 have been shown as fully defined within the semiconductor material such that the wedge-shaped heteroepitaxial material 230 forms a ring, those skilled in the art will have shown for the embodiment of FIGS. In addition, it will be appreciated that one or more sidewall surfaces can be defined by the element isolation material. As noted with respect to the embodiments described above, the sidewalls of the recessed region 214 may be further cleaned or rounded by etching to form the sidewalls, or an additional lining film such as a thin epitaxial layer. (Not shown).

アニーリング処理の結果、図7に示したライナー層225中のシリコン及びドーパントの原子は移動し、この、物質のリディストリビューションは、アニーリングされたヘテロエピタキシャル物質230が図10に示すようなファセット(facet)された横断側面形状を有することをもたらす。結晶学的な観点から、ファセット・ヘテロエピタキシャル物質230は、ゲート電極215の下にあるチャンネル領域217の両側上の結晶ファセットに相当する。図10に示すように、ファセット・ヘテロエピタキシャル物質230は、リセスされた領域214の側壁に沿って実質的に先細りにされた膜である。   As a result of the annealing process, silicon and dopant atoms in the liner layer 225 shown in FIG. 7 move, and this material redistribution is caused by the fact that the annealed heteroepitaxial material 230 is faceted as shown in FIG. ) Resulting in having a transverse lateral shape. From a crystallographic point of view, facet heteroepitaxial material 230 corresponds to crystal facets on both sides of channel region 217 under gate electrode 215. As shown in FIG. 10, facet heteroepitaxial material 230 is a film that is substantially tapered along the sidewalls of recessed region 214.

さらに、このファセット・エピタキシャル物質230は転位を持たずにひずみを有するが、アニーリング前の図7のエピタキシャル・ライナー225より高い合金含有量を有する。図示したように、ファセット・エピタキシャル物質230は、ゲート電極構造215の下のチャネル217に隣接して位置し、少なくともチャネルの隣のリセス214の側壁、好ましくは、リセスされた領域214の単結晶側壁表面をすべて実質的にラインし、又は覆う。従って、ひずみを有する、ファセット・ヘテロエピタキシャル物質230は、ゲート電極構造215の下にあるチャンネル領域217にひずみを生じさせる。   In addition, this faceted epitaxial material 230 is strained without dislocations but has a higher alloy content than the epitaxial liner 225 of FIG. 7 prior to annealing. As shown, the faceted epitaxial material 230 is located adjacent to the channel 217 under the gate electrode structure 215 and is at least the sidewall of the recess 214 next to the channel, preferably the single crystal sidewall of the recessed region 214. Substantially line or cover all surfaces. Accordingly, the strained facet heteroepitaxial material 230 causes strain in the channel region 217 underlying the gate electrode structure 215.

図示された実施の形態では、オリジナルのライナー225のエピタキシャル物質の一部は、アニーリングの後に、リセスされた領域214の底面に残る。図10に示しているように、アニーリング後の底部ライナー280のエピタキシャル物質は薄くなり、また、凹凸の表面を有し、さらに、くさび形の側壁を覆うヘテロエピタキシャル物質230と不連続であることが可能である。底部カバレージの不連続は、チャネルの表面に隣接するリセスのトップに影響を及ぼすことなく、リセスの底部のひずみを低減することができる。図示された実施の形態ではアニーリング後の底部ライナー280のエピタキシャル物質がファセット・ヘテロエピタキシャル物質230から分離されているが、当然のことながら、他の実施の形態(図示せず)では、アニーリング後の底部ライナーのエピタキシャル物質は、側壁表面を覆うファセット・エピタキシャル物質から分離されないことも可能である。この分離の有り無しは、成膜時間の調節によって、あるいは、ポストエピタキシャル成膜ケミカル・エッチング・ステップ、例えば、イン・サイチュ・ポストエピタキシャル成膜HClエッチングの追加によって達成されることができる。   In the illustrated embodiment, some of the epitaxial material of the original liner 225 remains on the bottom surface of the recessed region 214 after annealing. As shown in FIG. 10, the epitaxial material of the bottom liner 280 after annealing is thin, has an uneven surface, and is discontinuous with the heteroepitaxial material 230 covering the wedge-shaped sidewalls. Is possible. The bottom coverage discontinuity can reduce the strain at the bottom of the recess without affecting the top of the recess adjacent to the surface of the channel. Although the annealed bottom liner 280 epitaxial material is separated from facet heteroepitaxial material 230 in the illustrated embodiment, it will be appreciated that in other embodiments (not shown), It is possible that the epitaxial material of the bottom liner is not separated from the faceted epitaxial material that covers the sidewall surface. The presence or absence of this separation can be achieved by adjusting the deposition time or by adding a post-epitaxial deposition chemical etch step, eg, an in situ post-epitaxial deposition HCl etch.

その後、図11に示すように、リセスされた領域214の残存の部分はフィラー260により埋め込まれる。フィラー260はファセット・ヘテロエピタキシャル物質230より低いひずみを引き起こす不純物濃度を有する。図11に示しているように、このフィラー膜260は実質的に基板200の上面と同一平面にあるように形成されることができる。また、別の実施の形態では、このフィラー膜260は、基板200の上面より低い、又は高いことができる。シリコン<100>基板の場合には、ファセット・ヘテロエピタキシャル物質230及びフィラー260間のインターフェースでのファセット角度は、リセスされた領域214の底部の水平平面[001]に対して約25〜55度の範囲内にある。別の実施の形態によれば、ファセット角度は約11〜72度の範囲内にある。当然のことながら、ファセット・ヘテロエピタキシャル物質230及びフィラー260間の境界面は、少々湾曲を有しうる。また、リセスされた領域214内のストレッサー230及び260は、側壁ではより高いひずみ、即ちより高い不純物濃度を有し、リセスされた領域214の中心ではより低いひずみ、即ちより低い不純物濃度を有するという意味で、全般的に逆行にされている。実際には、フィラー260は、導電性のための電気的ドーパントだけを含み、ひずみを引き起こす不純物を含まないSiから形成されることができる。オプションのキャップ層(図示せず)はフィラー260上に形成されることができる。図12は、図9に示した方法を使用して形成されたファセットSiGeライナー層を示す顕微鏡写真である。フィラー260(図11)は、顕微鏡写真において「Siキャップ(Si Cap)」としてラベリングされ、また、ポリシリコン成長はゲート電極上に示されている。これは、この例では非選択的成膜を使用したことを示している。   Thereafter, as shown in FIG. 11, the remaining portion of the recessed region 214 is filled with a filler 260. Filler 260 has an impurity concentration that causes a lower strain than facet heteroepitaxial material 230. As shown in FIG. 11, the filler film 260 may be formed so as to be substantially flush with the upper surface of the substrate 200. In another embodiment, the filler film 260 can be lower or higher than the upper surface of the substrate 200. For silicon <100> substrates, the facet angle at the interface between facet heteroepitaxial material 230 and filler 260 is about 25-55 degrees with respect to the horizontal plane [001] at the bottom of recessed region 214. Is in range. According to another embodiment, the facet angle is in the range of about 11-72 degrees. Of course, the interface between the faceted heteroepitaxial material 230 and the filler 260 may have a slight curvature. Also, the stressors 230 and 260 in the recessed region 214 have a higher strain, i.e., a higher impurity concentration, on the sidewalls and a lower strain, i.e., a lower impurity concentration, in the center of the recessed region 214. In general, it has been reversed. In practice, the filler 260 can be formed from Si that contains only electrical dopants for conductivity and no impurities that cause strain. An optional cap layer (not shown) can be formed on the filler 260. FIG. 12 is a photomicrograph showing a faceted SiGe liner layer formed using the method shown in FIG. Filler 260 (FIG. 11) is labeled as “Si Cap” in the micrograph and polysilicon growth is shown on the gate electrode. This indicates that non-selective film formation was used in this example.

非常にひずんだエピタキシャル・シリコン含有物質280及び230のボリュームが、非常にひずんだ物質でリセスを完全に埋め込むよりも、薄いライニング膜の使用によって劇的に減少するので、臨界厚さの制約は緩和され、また、ひずみ設計及びサーマルバジェットにおける実質的な利得が生じることが理解されるであろう。エピタキシャル・シリコン含有物質280及び230の不純物含有量は、異なる量のひずみを生成するように調節されることができる。処理温度は、成長率の著しい増大に結びつくように高くされることができる。   The critical thickness constraint is relaxed because the volume of highly distorted epitaxial silicon-containing material 280 and 230 is dramatically reduced by the use of a thin lining film rather than completely embedding the recess with a very distorted material. And it will be appreciated that substantial gains in strain design and thermal budgets arise. The impurity content of the epitaxial silicon-containing materials 280 and 230 can be adjusted to produce different amounts of strain. The processing temperature can be increased to lead to a significant increase in growth rate.

上記の詳述が本発明のいくつかの実施の形態を開示しているが、この開示は単に例示的であり、本発明を限定しないことが理解されるべきである。当然のことながら、特定のコンフィギュレーション及びオペレーションは、上記説明されたものと異なることができる。しかも、ここに説明された方法は、半導体装置の製造以外の状況においても使用されることができる。   While the above detailed description discloses several embodiments of the invention, it is to be understood that this disclosure is illustrative only and does not limit the invention. Of course, the specific configuration and operation may differ from those described above. Moreover, the method described herein can be used in situations other than the manufacture of semiconductor devices.

基板のリセスされたソース/ドレイン領域にボトム−アップ方法でひずみ工ピタキシャル半導体膜を選択的に形成する方法を示すフロー・チャートである。It is a flowchart which shows the method of selectively forming a strain-processed epitaxial semiconductor film by the bottom-up method in the recessed source / drain area | region of a board | substrate. 半導体基板に形成された、リセスされたソース/ドレイン領域を含む、部分的に形成された半導体構造の概略横断面図である。1 is a schematic cross-sectional view of a partially formed semiconductor structure that includes recessed source / drain regions formed in a semiconductor substrate. FIG. リセスされたソース/ドレイン領域の底面上に、エピタキシャル成膜を含む炭素不純物添加のシリコン膜のブランケット成膜を行った後の、図2の部分的に形成された半導体構造の概略横断面図である。FIG. 3 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 2 after blanket deposition of a carbon impurity doped silicon film including epitaxial deposition on the bottom surface of the recessed source / drain region. . 絶縁体及びリセスされた側壁領域から炭素不純物添加のシリコンを除去するために選択的化学気相エッチング工程を行った後の、図3の部分的に形成された半導体構造の概略横断面図である。FIG. 4 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 3 after performing a selective chemical vapor etching step to remove carbon doped silicon from the insulator and recessed sidewall regions. . ブランケット成膜及び選択的エッチングのさらなるサイクルを行い、増大するひずみの膜をボトム−アップ方法で形成した後の、図4の部分的に形成された半導体構造の概略横断面図である。FIG. 5 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 4 after performing additional cycles of blanket deposition and selective etching to form an increasing strain film in a bottom-up manner. ブランケット成膜及び選択的エッチングのさらなるサイクルを行い、増大するひずみの膜をボトム−アップ方法で形成した後の、図4の部分的に形成された半導体構造の概略横断面図である。FIG. 5 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 4 after performing additional cycles of blanket deposition and selective etching to form an increasing strain film in a bottom-up manner. ブランケット成膜及び選択的エッチングのさらなるサイクルを行い、増大するひずみの膜をボトム−アップ方法で形成した後の、図4の部分的に形成された半導体構造の概略横断面図である。FIG. 5 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 4 after performing additional cycles of blanket deposition and selective etching to form an increasing strain film in a bottom-up manner. ブランケット成膜及び選択的エッチングのさらなるサイクルを行い、増大するひずみの膜をボトム−アップ方法で形成した後の、図4の部分的に形成された半導体構造の概略横断面図である。FIG. 5 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 4 after performing additional cycles of blanket deposition and selective etching to form an increasing strain film in a bottom-up manner. 基板のリセスされたソース/ドレイン領域にひずみライナー膜を形成する方法を示すフロー・チャートである。6 is a flow chart showing a method of forming a strained liner film in a recessed source / drain region of a substrate. 別の実施の形態による、混合基板表面のリセスされた領域にシリコン含有膜を含むライナー層を形成し、リセスされた領域をフィラーで埋め込んだ後の、図2の部分的に形成された半導体構造の概略横断面図である。The partially formed semiconductor structure of FIG. 2 after forming a liner layer including a silicon-containing film in the recessed region of the mixed substrate surface and filling the recessed region with filler, according to another embodiment FIG. 別の実施の形態による、混合基板表面のリセスされた領域にシリコン含有膜を含むライナー層を形成し、リセスされた領域をフィラーで埋め込んだ後の、図2の部分的に形成された半導体構造の概略横断面図である。The partially formed semiconductor structure of FIG. 2 after forming a liner layer including a silicon-containing film in the recessed region of the mixed substrate surface and filling the recessed region with filler, according to another embodiment FIG. 基板のリセスされたソース/ドレイン領域にファセットひずみライナー膜を形成する方法を示すフロー・チャートである。6 is a flow chart illustrating a method for forming a faceted strain liner film in a recessed source / drain region of a substrate. 別の実施の形態による、ライナー層をアニーリングし、リセスされた領域をフィラーで埋め込んだ後の、図6の部分的に形成された半導体構造の概略横断面図である。FIG. 7 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 6 after annealing the liner layer and filling the recessed regions with filler, according to another embodiment. 別の実施の形態による、ライナー層をアニーリングし、リセスされた領域をフィラーで埋め込んだ後の、図6の部分的に形成された半導体構造の概略横断面図である。FIG. 7 is a schematic cross-sectional view of the partially formed semiconductor structure of FIG. 6 after annealing the liner layer and filling the recessed regions with filler, according to another embodiment. アニーリングされた後のSiGeライナー層を示す顕微鏡写真である。It is a microscope picture which shows the SiGe liner layer after being annealed.

Claims (52)

絶縁表面、及びリセスを有する単結晶半導体表面を含む基板を、化学気相成長チャンバ内に提供するステップと、
前記リセスに半導体ストレッサーを選択的に形成するステップと、を含み、
前記半導体ストレッサーが、
前記リセス内の前記半導体ストレッサーの上部部分が下部部分より高いひずみを有するように傾斜され、
前記上部部分が前記リセスの側壁へ伸びる
半導体物質を選択的に形成する方法。
Providing a substrate comprising an insulating surface and a single crystal semiconductor surface having a recess in a chemical vapor deposition chamber;
Selectively forming a semiconductor stressor in the recess;
The semiconductor stressor is
The upper portion of the semiconductor stressor in the recess is inclined to have a higher strain than the lower portion;
A method of selectively forming a semiconductor material wherein the upper portion extends to a sidewall of the recess.
前記半導体ストレッサーが、離散的な膜を含む
請求項1に記載の方法。
The method of claim 1, wherein the semiconductor stressor comprises a discrete film.
前記半導体ストレッサーを選択的に形成する前記ステップが、
前記基板の前記絶縁表面及び前記単結晶半導体表面上に半導体物質をブランケット成膜するステップと、
前記リセスの底部にエピタキシャル物質を残しながら、前記絶縁表面から非エピタキシャル半導体物質を選択的に除去し、且つ、前記リセスの前記側壁からエピタキシャル物質を選択的に除去するステップと、を含む
請求項1に記載の方法。
The step of selectively forming the semiconductor stressor comprises:
Blanket depositing a semiconductor material on the insulating surface and the single crystal semiconductor surface of the substrate;
2. Selectively removing non-epitaxial semiconductor material from the insulating surface and selectively removing epitaxial material from the sidewalls of the recess while leaving the epitaxial material at the bottom of the recess. The method described in 1.
前記ブランケット成膜するステップ及び前記選択的除去するステップを複数のサイクル繰り返すステップをさらに含み、
各前記サイクルが、前記リセスの底部でのエピタキシャル物質の厚さを増し、
前記リセス内において、ブランケット成膜された半導体物質の膜が、該膜の下にあるブランケット成膜された半導体物質の膜よりも高い濃度のドーパントを含有する
請求項3に記載の方法。
Further comprising repeating the blanket deposition step and the selective removal step a plurality of cycles;
Each cycle increases the thickness of the epitaxial material at the bottom of the recess;
4. The method of claim 3, wherein in the recess, the blanket deposited semiconductor material film contains a higher concentration of dopant than the blanket deposited semiconductor material film underlying the film.
前記ブランケット成膜するステップが、非選択的に成膜するステップを含む
請求項3に記載の方法。
The method according to claim 3, wherein the blanket film forming step includes a non-selective film forming step.
前記ブランケット成膜するステップが、前記絶縁表面上に主に非晶質の半導体物質を形成するステップを含む
請求項3に記載の方法。
The method of claim 3, wherein the blanket deposition includes forming a primarily amorphous semiconductor material on the insulating surface.
前記ブランケット成膜するステップが、トリシラン及び炭素の前駆体を前記化学気相成長チャンバに流すステップを含む
請求項3に記載の方法。
The method of claim 3, wherein the blanket deposition step comprises flowing trisilane and carbon precursors into the chemical vapor deposition chamber.
前記半導体物質が、炭素不純物添加のシリコンを含む
請求項1に記載の方法。
The method of claim 1, wherein the semiconductor material comprises carbon doped silicon.
基板の、単結晶半導体のリセスされた領域の底部及び側壁表面上に半導体物質を堆積するステップと、
前記底面上に前記半導体物質のヘテロエピタキシャル膜を残しながら、前記リセスされた領域の側壁表面から前記半導体物質の部分を選択的に除去するステップと、
前記半導体物質を堆積する前記ステップ及び選択的に除去する前記ステップを繰り返すステップと、を含み、
後に堆積された前記半導体物質のヘテロエピタキシャル膜が、先に堆積された前記半導体物質のヘテロエピタキシャル膜と比較して、異なる濃度の、ひずみを引き起こす不純物を含む
ヘテロエピタキシャル半導体物質を選択的に形成する方法。
Depositing a semiconductor material on the bottom and sidewall surfaces of the recessed region of the single crystal semiconductor of the substrate;
Selectively removing a portion of the semiconductor material from a sidewall surface of the recessed region, leaving a heteroepitaxial film of the semiconductor material on the bottom surface;
Repeating the steps of depositing and selectively removing the semiconductor material;
A heteroepitaxial film of the semiconductor material deposited later selectively forms a heteroepitaxial semiconductor material containing different concentrations of impurities that cause strain compared to a heteroepitaxial film of the semiconductor material deposited earlier. Method.
堆積された前記半導体物質の膜が、離散的に傾斜されている
請求項9に記載の方法。
The method of claim 9, wherein the deposited film of semiconductor material is discretely tilted.
前記半導体物質を堆積する前記ステップが、各サイクルにおいて1〜100nmの厚さに前記半導体物質の前記ヘテロエピタキシャル膜を形成する
請求項9に記載の方法。
10. The method of claim 9, wherein the step of depositing the semiconductor material forms the heteroepitaxial film of the semiconductor material to a thickness of 1-100 nm in each cycle.
前記リセスされた領域中の前記半導体物質の前記ヘテロエピタキシャル膜が、前記基板の隣接領域にひずみを生じさせる
請求項9に記載の方法。
The method of claim 9, wherein the heteroepitaxial film of the semiconductor material in the recessed region causes strain in an adjacent region of the substrate.
前記ひずみが、前記リセスされた領域のトップ部分で最も大きい
請求項12に記載の方法。
The method of claim 12, wherein the strain is greatest at a top portion of the recessed area.
前記半導体物質が、炭素不純物添加のシリコンを含む
請求項9に記載の方法。
The method of claim 9, wherein the semiconductor material comprises silicon doped with carbon impurities.
前記リセス内の前記半導体物質の最も大きいひずみが、前記リセスされた領域のトップ部分にある
請求項9に記載の方法。
The method of claim 9, wherein the largest strain of the semiconductor material in the recess is at a top portion of the recessed region.
前記半導体物質が、前記リセスされた領域を埋め込む
請求項9に記載の方法。
The method of claim 9, wherein the semiconductor material embeds the recessed region.
少なくとも最上層の前記半導体物質の前記ヘテロエピタキシャル膜が、引っ張りひずみを有する
請求項9に記載の方法。
The method of claim 9, wherein at least the heteroepitaxial film of the semiconductor material of the uppermost layer has a tensile strain.
絶縁領域及びリセスが形成されている基板を提供するステップと、
前記リセスを部分的に埋め込む、ひずみを引き起こす不純物を含むヘテロエピタキシャル・シリコン含有物質のライナー層を前記リセスに形成するステップと、
前記ライナー層より低い濃度の不純物を有するシリコン含有物質を含むフィラーを前記ライナー層上に形成することによって、前記ライナー層を覆うステップと、を含む
リセス内に半導体物質を形成する方法。
Providing a substrate having an insulating region and a recess formed thereon;
Forming in the recess a liner layer of heteroepitaxial silicon-containing material comprising impurities that cause strain to partially embed the recess;
Covering the liner layer by forming a filler containing a silicon-containing material having a lower concentration of impurities than the liner layer on the liner layer, and forming a semiconductor material in the recess.
前記ライナー層を形成する前記ステップが、シリコン・ゲルマニウムで前記リセスをラインするステップを含む
請求項18に記載の方法。
The method of claim 18, wherein the step of forming the liner layer comprises line the recess with silicon germanium.
シリコン、シリコン・ゲルマニウム、炭素不純物添加のシリコン、及び炭素不純物添加のシリコン・ゲルマニウムからなるグループより選択された1つの物質から形成されたキャッピング層を、前記フィラー上に形成するステップをさらに含む
請求項18に記載の方法。
A capping layer formed from one material selected from the group consisting of silicon, silicon germanium, silicon doped with carbon, and silicon germanium doped with carbon is further formed on the filler. 18. The method according to 18.
前記ライナー層を形成する前記ステップが、傾斜されたシリコン・ゲルマニウム層を形成するステップを含み、
前記ライナー層を覆う前記ステップが、前記ライナー層を形成した後、シリコンで前記リセスを埋め込むステップを含み、
前記ゲルマニウムの濃度が前記リセスの底部及び側面から離れるにつれ減少する
請求項18に記載の方法。
Forming the liner layer comprises forming a graded silicon germanium layer;
The step of covering the liner layer comprises filling the recess with silicon after forming the liner layer;
The method of claim 18, wherein the germanium concentration decreases as it moves away from the bottom and sides of the recess.
傾斜された前記シリコン・ゲルマニウム層が、離散的に傾斜された層を含む
請求項21に記載の方法。
The method of claim 21, wherein the tilted silicon-germanium layer comprises a discretely tilted layer.
傾斜された前記シリコン・ゲルマニウム層が、連続的に傾斜された層である
請求項21に記載の方法。
The method of claim 21, wherein the tilted silicon-germanium layer is a continuously tilted layer.
前記ライナー層を形成する前記ステップ及び前記ライナー層を覆う前記ステップが、
前記リセスが、傾斜されたシリコン・ゲルマニウム物質によって埋め込まれる結果をもたらし、
前記ゲルマニウムの濃度が前記リセスの底部及び側面から離れるにつれ減少する
請求項19に記載の方法。
The step of forming the liner layer and the step of covering the liner layer include:
The recess results in being embedded by a tilted silicon-germanium material;
20. The method of claim 19, wherein the germanium concentration decreases as it moves away from the bottom and sides of the recess.
前記ライナー層を形成する前記ステップが、
炭素不純物添加のシリコンで前記リセスをラインするステップを含む
請求項18に記載の方法。
The step of forming the liner layer comprises:
19. The method of claim 18, comprising line the recess with carbon doped silicon.
シリコン、シリコン・ゲルマニウム、炭素不純物添加のシリコン、及び炭素不純物添加のシリコン・ゲルマニウムからなるグループから選択された1つの物質を含むキャッピング層を前記フィラー上に形成するステップをさらに含む
請求項25に記載の方法。
26. The method of claim 25, further comprising forming a capping layer on the filler including one material selected from the group consisting of silicon, silicon germanium, silicon doped with carbon, and silicon germanium doped with carbon. the method of.
前記リセス内のヘテロエピタキシャル・シリコン含有物質の前記ライナー層が、前記基板の隣接領域に対して横方向の引っ張りひずみを作用する
請求項18に記載の方法。
The method of claim 18, wherein the liner layer of heteroepitaxial silicon-containing material in the recess acts in a lateral tensile strain on an adjacent region of the substrate.
前記隣接領域が、トランジスタ・チャネル領域である
請求項27に記載の方法。
28. The method of claim 27, wherein the adjacent region is a transistor channel region.
前記ライナー層を形成した後、且つ、低い濃度の不純物を有するシリコン含有物質を用いて前記ライナー層を覆う前に、前記基板をアニーリングするステップをさらに含む
請求項18に記載の方法。
The method of claim 18, further comprising annealing the substrate after forming the liner layer and before covering the liner layer with a silicon-containing material having a low concentration of impurities.
前記基板をアニーリングする前記ステップが、前記基板を650〜900℃の温度に熱することを含む
請求項29に記載の方法。
30. The method of claim 29, wherein the step of annealing the substrate comprises heating the substrate to a temperature of 650-900 <0> C.
前記基板をアニーリングする前記ステップの後、ヘテロエピタキシャル・シリコン含有物質が、前記リセスの側壁表面の全体を実質的に覆う
請求項29に記載の方法。
30. The method of claim 29, wherein after the step of annealing the substrate, a heteroepitaxial silicon-containing material substantially covers the entire sidewall surface of the recess.
前記基板をアニーリングする前記ステップが、
前記ライナー層の前記ヘテロエピタキシャル・シリコン含有物質の一部が前記リセスのコ−ナーヘ移動することを引き起こす
請求項29に記載の方法。
The step of annealing the substrate comprises:
30. The method of claim 29, causing a portion of the heteroepitaxial silicon-containing material of the liner layer to migrate to the recess corner.
前記基板をアニーリングする前記ステップの後、前記ライナー層が、ファセットの横断側面形状を有する
請求項29に記載の方法。
30. The method of claim 29, wherein after the step of annealing the substrate, the liner layer has a faceted cross-sectional shape.
前記ライナー層及び前記フィラー間の境界面が、前記リセスの底面に対して25〜55度の範囲内にある
請求項33に記載の方法。
34. The method of claim 33, wherein an interface between the liner layer and the filler is in the range of 25 to 55 degrees with respect to the bottom surface of the recess.
前記基板をアニーリングする前記ステップの後、前記ライナー層が、リセスの側壁に沿って実質的に先細りにされている
請求項33に記載の方法。
34. The method of claim 33, wherein after the step of annealing the substrate, the liner layer is substantially tapered along a recess sidewall.
基板中のリセスと、
前記リセスの単結晶側壁表面のすべてを実質的に覆う、格子定数を変更させる不純物を含むヘテロエピタキシャル・シリコン含有ライナーと、
前記ライナー上に形成され、前記リセスを埋め込むフィラーと、
前記リセスに隣接するトランジスタ・チャネルと、を含み、
前記フィラーが、前記ライナーより低い濃度の前記不純物を有するシリコン含有物質を含む半導体装置。
The recesses in the substrate,
A heteroepitaxial silicon-containing liner containing impurities that alters the lattice constant, covering substantially all of the single crystal sidewall surface of the recess;
A filler formed on the liner and embedding the recess;
A transistor channel adjacent to the recess;
The semiconductor device, wherein the filler includes a silicon-containing material having the impurity at a concentration lower than that of the liner.
前記ライナーが、シリコン・ゲルマニウムを含む
請求項36に記載の半導体装置。
The semiconductor device according to claim 36, wherein the liner includes silicon-germanium.
前記フィラー上に形成された、シリコン、シリコン・ゲルマニウム、炭素不純物添加のシリコン、及び炭素不純物添加のシリコン・ゲルマニウムから成るグループから選択された1つの物質を含むキャッピング層をさらに含む
請求項37に記載の半導体装置。
38. The capping layer according to claim 37, further comprising a capping layer formed on the filler and including a material selected from the group consisting of silicon, silicon germanium, carbon-doped silicon, and carbon-doped silicon germanium. Semiconductor device.
前記ライナーが、炭素不純物添加のシリコンを含む
請求項36に記載の半導体装置。
37. The semiconductor device according to claim 36, wherein the liner includes carbon-doped silicon.
前記フィラー上に形成された、シリコン、シリコン・ゲルマニウム、炭素不純物添加のシリコン、及び炭素不純物添加のシリコン・ゲルマニウムから成るグループから選択された1つの物質を含むキャッピング層をさらに含む
請求項39に記載の半導体装置。
40. The capping layer of claim 39, further comprising a capping layer formed on the filler and including one material selected from the group consisting of silicon, silicon germanium, silicon doped with carbon, and silicon germanium doped with carbon. Semiconductor device.
前記フィラー上に形成された、前記リセスの側壁表面をすべて覆う前記ヘテロエピタキシャル・ライナーより低い濃度の前記不純物を含むキャッピング層をさらに含む
請求項36に記載の半導体装置。
37. The semiconductor device according to claim 36, further comprising a capping layer that is formed on the filler and that includes the impurity at a concentration lower than that of the heteroepitaxial liner that covers the entire sidewall surface of the recess.
前記ライナー及び前記フィラー間の前記境界面が、先細りにされており、
前記ライナーが、前記リセスの底面上では不連続である
請求項36に記載の半導体装置。
The interface between the liner and the filler is tapered;
37. The semiconductor device according to claim 36, wherein the liner is discontinuous on the bottom surface of the recess.
前記ライナーが、先細りにされており、且つ、
前記ライナーが、前記リセスの底面上に、前記リセスの側壁表面上の前記ライナーより薄い部分を含む
請求項36に記載の半導体装置。
The liner is tapered, and
37. The semiconductor device according to claim 36, wherein the liner includes a thinner portion on the bottom surface of the recess than the liner on the sidewall surface of the recess.
前記ライナーが、引っ張りひずみを有する
請求項36に記載の半導体装置。
37. The semiconductor device according to claim 36, wherein the liner has tensile strain.
前記ライナーが、前記トランジスタ・チャネルに圧縮ひずみを生じさせる
請求項36に記載の半導体装置。
37. The semiconductor device according to claim 36, wherein the liner causes compressive strain in the transistor channel.
前記ライナーが、シリコン・ゲルマニウムである
請求項45に記載の半導体装置。
46. The semiconductor device according to claim 45, wherein the liner is silicon-germanium.
ヘテロエピタキシャル・ストレッサー物質で埋め込まれたリセスと、
前記リセスに隣接するトランジスタ・チャネルと、を含み、
前記リセス内の前記ストレッサー物質の上部が、第1の不純物濃度を有し、
前記リセス内の前記ストレッサー物質の下部が、第2の不純物濃度を有し、
前記第1の不純物濃度が、第2の不純物濃度より高く、
前記上部が、伸びて前記リセスの側壁と接続する半導体装置。
A recess embedded with a heteroepitaxial stressor material;
A transistor channel adjacent to the recess;
An upper portion of the stressor material in the recess has a first impurity concentration;
A lower portion of the stressor material in the recess has a second impurity concentration;
The first impurity concentration is higher than the second impurity concentration;
A semiconductor device in which the upper part extends to connect to the side wall of the recess.
前記ストレッサー物質が、底面よりも上面のほうが高い不純物濃度を有する
請求項47に記載の半導体装置。
48. The semiconductor device according to claim 47, wherein the stressor substance has a higher impurity concentration on the top surface than on the bottom surface.
前記ストレッサー物質が、シリコン・ゲルマニウムである
請求項47に記載の半導体装置。
48. The semiconductor device according to claim 47, wherein the stressor material is silicon-germanium.
前記ストレッサー物質が、炭素不純物添加のシリコンである
請求項47に記載の半導体装置。
48. The semiconductor device according to claim 47, wherein the stressor material is silicon doped with carbon impurities.
前記ストレッサー物質が、離散的な膜を含み、
各前記膜が、自体の下の膜より高い不純物濃度を有する
請求項47に記載の半導体装置。
The stressor material comprises a discrete membrane;
48. The semiconductor device according to claim 47, wherein each of the films has a higher impurity concentration than a film under the film.
前記ストレッサー物質が、引っ張りひずみを有する
請求項47に記載の半導体装置。
48. The semiconductor device according to claim 47, wherein the stressor material has tensile strain.
JP2008237865A 2007-09-19 2008-09-17 Stressor that gives the designed strain to the channel Active JP5382642B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/858,054 2007-09-19
US11/858,054 US7759199B2 (en) 2007-09-19 2007-09-19 Stressor for engineered strain on channel

Publications (3)

Publication Number Publication Date
JP2009076907A true JP2009076907A (en) 2009-04-09
JP2009076907A5 JP2009076907A5 (en) 2011-10-20
JP5382642B2 JP5382642B2 (en) 2014-01-08

Family

ID=40454799

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008237865A Active JP5382642B2 (en) 2007-09-19 2008-09-17 Stressor that gives the designed strain to the channel

Country Status (4)

Country Link
US (1) US7759199B2 (en)
JP (1) JP5382642B2 (en)
KR (1) KR101548013B1 (en)
TW (1) TWI509699B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011078240A1 (en) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 Method for selectively growing doped epitaxial film, and method for selectively growing doped epitaxial film
JP2014045208A (en) * 2009-07-28 2014-03-13 Taiwan Semiconductor Manufactuaring Co Ltd Transistor structure of integrated circuit
JP2017162850A (en) * 2016-03-07 2017-09-14 東京エレクトロン株式会社 Method of embedding recess and processing device

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
KR101369907B1 (en) * 2007-10-31 2014-03-04 주성엔지니어링(주) Transistor and method of manufacturing the same
JP5107680B2 (en) * 2007-11-16 2012-12-26 パナソニック株式会社 Semiconductor device
US7682845B2 (en) * 2007-12-27 2010-03-23 Globalfoundries Inc. Methods for calibrating a process for growing an epitaxial silicon film and methods for growing an epitaxial silicon film
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US7994015B2 (en) * 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
US8415718B2 (en) * 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8278164B2 (en) * 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
KR101668097B1 (en) * 2010-03-12 2016-10-24 삼성전자주식회사 Semiconductor dievices having a field effect transistor and methods of forming the same
KR101674179B1 (en) * 2010-04-06 2016-11-10 삼성전자주식회사 Semiconductor dievices having a field effect transistor and methods of forming the same
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
KR101776926B1 (en) * 2010-09-07 2017-09-08 삼성전자주식회사 Semiconductor device and method for manufacturing the same
CN102437183B (en) * 2010-09-29 2015-02-25 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same
US8361859B2 (en) 2010-11-09 2013-01-29 International Business Machines Corporation Stressed transistor with improved metastability
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
KR20120073727A (en) * 2010-12-27 2012-07-05 삼성전자주식회사 Semiconductor devices including strained semiconductor regions and methods of fabricating the same, and an electronic system including the same
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8796788B2 (en) 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
CN102709183B (en) * 2011-03-28 2016-08-03 中芯国际集成电路制造(上海)有限公司 The method being used for producing the semiconductor devices
US8809170B2 (en) * 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
DE102011076696B4 (en) * 2011-05-30 2013-02-07 Globalfoundries Inc. A method of enhancing performance in transistors by providing an embedded semiconductor-based strain-inducing semiconductor material and a corresponding semiconductor device
US8546204B2 (en) * 2011-10-03 2013-10-01 International Business Machines Corporation Method for growing conformal epi layers and structure thereof
US8927374B2 (en) * 2011-10-04 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US8742503B2 (en) 2011-10-31 2014-06-03 International Business Machines Corporation Recessed single crystalline source and drain for semiconductor-on-insulator devices
US9246004B2 (en) * 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
CN103165664B (en) * 2011-12-13 2016-08-24 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method, semi-conductor device manufacturing method
TWI556439B (en) * 2011-12-20 2016-11-01 英特爾股份有限公司 Column iv transistors for pmos integration
KR101635028B1 (en) 2011-12-20 2016-07-01 인텔 코포레이션 Strained channel region transistors employing source and drain stressors and systems including the same
KR20130074353A (en) 2011-12-26 2013-07-04 삼성전자주식회사 Semiconductor device including transistors
US10163724B2 (en) * 2012-03-01 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method of manufacturing same
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9190471B2 (en) * 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
CN103377897B (en) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 A kind of formation method of silicon germanium source/drain structure
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US9490318B2 (en) * 2012-06-15 2016-11-08 Lawrence Livermore National Security, Llc Three dimensional strained semiconductors
CN103715090B (en) * 2012-09-29 2018-05-01 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
CN103779215B (en) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor device and preparation method thereof
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8900958B2 (en) * 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US9425257B2 (en) * 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9812569B2 (en) * 2014-01-15 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabricating method thereof
US9543387B2 (en) * 2014-03-10 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9590037B2 (en) * 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
KR102216511B1 (en) 2014-07-22 2021-02-18 삼성전자주식회사 Semiconductor device
US9559165B2 (en) * 2014-09-19 2017-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with strained source and drain structures and method for forming the same
KR102230198B1 (en) 2014-09-23 2021-03-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
KR102152285B1 (en) 2014-12-08 2020-09-04 삼성전자주식회사 Semiconductor device having stressor and method of forming the same
KR102395071B1 (en) 2015-05-14 2022-05-10 삼성전자주식회사 Semiconductor devices including field effect transistors
WO2016204782A1 (en) 2015-06-19 2016-12-22 Intel Corporation Carbon-based interface for epitaxially grown source/drain transistor regions
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US9502420B1 (en) 2015-12-19 2016-11-22 International Business Machines Corporation Structure and method for highly strained germanium channel fins for high mobility pFINFETs
JP6606476B2 (en) * 2016-08-02 2019-11-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
US10522656B2 (en) * 2018-02-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Forming epitaxial structures in fin field effect transistors
KR20190110845A (en) * 2018-03-21 2019-10-01 삼성전자주식회사 Semiconductor device
US11869972B2 (en) * 2018-11-26 2024-01-09 Etron Technology, Inc. Reduced-form-factor transistor with self-aligned terminals and adjustable on/off-currents and manufacture method thereof
CN117457634A (en) 2018-12-10 2024-01-26 钰创科技股份有限公司 Unified integrated circuit system
US11501968B2 (en) * 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210146802A (en) * 2020-05-26 2021-12-06 에이에스엠 아이피 홀딩 비.브이. Method for depositing boron and gallium containing silicon germanium layers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217391A (en) * 2003-10-31 2005-08-11 Internatl Business Mach Corp <Ibm> High-mobility hetero-junction complementary field-effect transistor and its method
WO2006060339A2 (en) * 2004-12-01 2006-06-08 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP2008078347A (en) * 2006-09-21 2008-04-03 Sony Corp Method for manufacturing semiconductor device and semiconductor device
WO2008063543A2 (en) * 2006-11-21 2008-05-29 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication

Family Cites Families (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US4210925A (en) 1978-02-07 1980-07-01 Harris Corporation I2 L Integrated circuit and process of fabrication
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
JPS61121433A (en) * 1984-11-19 1986-06-09 Sharp Corp Semiconductor substrate
JPS61166071A (en) 1985-01-17 1986-07-26 Toshiba Corp Semiconductor device and manufacture thereof
US4698316A (en) 1985-01-23 1987-10-06 Rca Corporation Method of depositing uniformly thick selective epitaxial silicon
US5373806A (en) 1985-05-20 1994-12-20 Applied Materials, Inc. Particulate-free epitaxial process
US4735918A (en) * 1985-05-24 1988-04-05 Hughes Aircraft Company Vertical channel field effect transistor
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4778775A (en) 1985-08-26 1988-10-18 Intel Corporation Buried interconnect for silicon on insulator structure
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
EP0214690B1 (en) 1985-09-06 1992-03-25 Philips Electronics Uk Limited A method of manufacturing a semiconductor device
US4857479A (en) 1985-10-08 1989-08-15 Motorola Method of making poly-sidewall contact transistors
US4891092A (en) * 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
US4704186A (en) 1986-02-19 1987-11-03 Rca Corporation Recessed oxide method for making a silicon-on-insulator substrate
FR2595509B1 (en) 1986-03-07 1988-05-13 Thomson Csf COMPONENT IN SEMICONDUCTOR MATERIAL EPITAXIA ON A SUBSTRATE WITH DIFFERENT MESH PARAMETER AND APPLICATION TO VARIOUS SEMICONDUCTOR COMPONENTS
DE3784537T2 (en) * 1986-04-11 1993-09-30 Canon Kk Production process of a deposited layer.
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
AU588700B2 (en) * 1986-06-30 1989-09-21 Canon Kabushiki Kaisha Semiconductor device and method for producing the same
US4728623A (en) * 1986-10-03 1988-03-01 International Business Machines Corporation Fabrication method for forming a self-aligned contact window and connection in an epitaxial layer and device structures employing the method
KR900007686B1 (en) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 Vapor-phase growth process
US4749441A (en) * 1986-12-11 1988-06-07 General Motors Corporation Semiconductor mushroom structure fabrication
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5236546A (en) 1987-01-26 1993-08-17 Canon Kabushiki Kaisha Process for producing crystal article
US5269876A (en) 1987-01-26 1993-12-14 Canon Kabushiki Kaisha Process for producing crystal article
US4786615A (en) 1987-08-31 1988-11-22 Motorola Inc. Method for improved surface planarity in selective epitaxial silicon
US4870030A (en) 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
US4758531A (en) 1987-10-23 1988-07-19 International Business Machines Corporation Method of making defect free silicon islands using SEG
US4873205A (en) 1987-12-21 1989-10-10 International Business Machines Corporation Method for providing silicide bridge contact between silicon regions separated by a thin dielectric
US5319220A (en) * 1988-01-20 1994-06-07 Sharp Kabushiki Kaisha Silicon carbide semiconductor device
JPH0228322A (en) 1988-04-28 1990-01-30 Mitsubishi Electric Corp Preliminary treatment of semiconductor substrate
US5164813A (en) 1988-06-24 1992-11-17 Unitrode Corporation New diode structure
US5059544A (en) 1988-07-14 1991-10-22 International Business Machines Corp. Method of forming bipolar transistor having self-aligned emitter-base using selective and non-selective epitaxy
US5112439A (en) * 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
US5037775A (en) 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5061644A (en) 1988-12-22 1991-10-29 Honeywell Inc. Method for fabricating self-aligned semiconductor devices
US5146304A (en) 1988-12-22 1992-09-08 Honeywell Inc. Self-aligned semiconductor device
US5004705A (en) * 1989-01-06 1991-04-02 Unitrode Corporation Inverted epitaxial process
US5416354A (en) * 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US4897366A (en) * 1989-01-18 1990-01-30 Harris Corporation Method of making silicon-on-insulator islands
KR920008886B1 (en) 1989-05-10 1992-10-10 삼성전자 주식회사 Method of producing for dram cell
US5175121A (en) 1989-05-10 1992-12-29 Samsung Electronics Co., Ltd. Method for manufacturing a stacked capacitor DRAM semiconductor device
US5028973A (en) 1989-06-19 1991-07-02 Harris Corporation Bipolar transistor with high efficient emitter
US4923826A (en) * 1989-08-02 1990-05-08 Harris Corporation Method for forming dielectrically isolated transistor
JPH03130368A (en) 1989-09-22 1991-06-04 Applied Materials Inc Cleaning of semiconductor wafer process device
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2802449B2 (en) 1990-02-16 1998-09-24 三菱電機株式会社 Method for manufacturing semiconductor device
US4981811A (en) * 1990-04-12 1991-01-01 At&T Bell Laboratories Process for fabricating low defect polysilicon
DE4016471A1 (en) 1990-05-22 1991-11-28 Bosch Gmbh Robert MICROMECHANICAL INCLINATION SENSOR
JP2590295B2 (en) * 1990-06-06 1997-03-12 株式会社東芝 Semiconductor device and manufacturing method thereof
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
KR930007190B1 (en) 1990-08-21 1993-07-31 삼성전자 주식회사 Compound semiconductor device
DE4106287A1 (en) * 1990-10-25 1992-04-30 Bosch Gmbh Robert METHOD FOR ANISOTROPICALLY ASSEMBLING MONOCRISTALLINE, DISC-SHAPED CARRIERS
US5403751A (en) * 1990-11-29 1995-04-04 Canon Kabushiki Kaisha Process for producing a thin silicon solar cell
US5201955A (en) * 1991-03-11 1993-04-13 Chika Hani E Annular gradient optical lens tint system
US5234857A (en) 1991-03-23 1993-08-10 Samsung Electronics, Co., Ltd. Method of making semiconductor device having a capacitor of large capacitance
KR920018987A (en) * 1991-03-23 1992-10-22 김광호 Manufacturing method of capacitor
US5252841A (en) 1991-05-09 1993-10-12 Hughes Aircraft Company Heterojunction bipolar transistor structure having low base-collector capacitance, and method of fabricating the same
US5182619A (en) * 1991-09-03 1993-01-26 Motorola, Inc. Semiconductor device having an MOS transistor with overlapped and elevated source and drain
JP2855908B2 (en) * 1991-09-05 1999-02-10 日本電気株式会社 Semiconductor device and manufacturing method thereof
FR2682128B1 (en) 1991-10-08 1993-12-03 Thomson Csf METHOD FOR GROWING HETEROEPITAXIAL LAYERS.
JPH05175216A (en) * 1991-12-24 1993-07-13 Rohm Co Ltd Hetero junction bipolar transistor and its manufacture
US5201995A (en) 1992-03-16 1993-04-13 Mcnc Alternating cyclic pressure modulation process for selective area deposition
US5425842A (en) * 1992-06-09 1995-06-20 U.S. Philips Corporation Method of manufacturing a semiconductor device using a chemical vapour deposition process with plasma cleaning of the reactor chamber
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5326992A (en) 1992-07-29 1994-07-05 The United States Of America As Represented By The Secretary Of The Navy Silicon carbide and SiCAlN heterojunction bipolar transistor structures
US6004683A (en) 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
US5285089A (en) * 1992-12-02 1994-02-08 Kobe Steel U.S.A., Inc. Diamond and silicon carbide heterojunction bipolar transistor
JP2791260B2 (en) 1993-03-01 1998-08-27 株式会社東芝 Method for manufacturing semiconductor device
JP3299807B2 (en) * 1993-04-07 2002-07-08 シャープ株式会社 Heterojunction bipolar transistor
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3292894B2 (en) 1993-05-12 2002-06-17 日本電信電話株式会社 Integrated light receiving circuit
DE69421465T2 (en) * 1993-07-30 2000-02-10 Applied Materials Inc Process for the deposition of silicon nitride on silicon surfaces
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5422502A (en) * 1993-12-09 1995-06-06 Northern Telecom Limited Lateral bipolar transistor
JPH07169693A (en) * 1993-12-16 1995-07-04 Mitsubishi Electric Corp Horizontal low-pressure cvd device and its cleaning method
JP2611640B2 (en) 1993-12-20 1997-05-21 日本電気株式会社 Heterojunction bipolar transistor
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
DE69534688D1 (en) 1994-10-31 2006-01-19 St Microelectronics Inc Method of forming elevated source and drain zones in integrated circuits
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FR2728388A1 (en) * 1994-12-19 1996-06-21 Korea Electronics Telecomm METHOD FOR MANUFACTURING A BIPOLAR TRANSISTOR
JPH08213343A (en) 1995-01-31 1996-08-20 Sony Corp Semiconductor device and manufacture thereof
JPH08236540A (en) 1995-03-01 1996-09-13 Mitsubishi Electric Corp Manufacture of semiconductor device and semiconductor device
JP2914213B2 (en) * 1995-03-28 1999-06-28 日本電気株式会社 Semiconductor device and manufacturing method thereof
JPH08306700A (en) * 1995-04-27 1996-11-22 Nec Corp Semiconductor device and its manufacture
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5665614A (en) * 1995-06-06 1997-09-09 Hughes Electronics Method for making fully self-aligned submicron heterojunction bipolar transistor
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE19533313A1 (en) 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
US5637518A (en) * 1995-10-16 1997-06-10 Micron Technology, Inc. Method of making a field effect transistor having an elevated source and an elevated drain
US5693147A (en) 1995-11-03 1997-12-02 Motorola, Inc. Method for cleaning a process chamber
US5783495A (en) 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (en) 1996-05-01 2003-04-28 株式会社東芝 CVD apparatus and cleaning method thereof
US6058945A (en) * 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
JP2839018B2 (en) 1996-07-31 1998-12-16 日本電気株式会社 Method for manufacturing semiconductor device
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6043519A (en) * 1996-09-12 2000-03-28 Hughes Electronics Corporation Junction high electron mobility transistor-heterojunction bipolar transistor (JHEMT-HBT) monolithic microwave integrated circuit (MMIC) and single growth method of fabrication
KR100236069B1 (en) * 1996-12-26 1999-12-15 김영환 Capacitor and manufacturing method thereof
JP3050152B2 (en) 1997-01-23 2000-06-12 日本電気株式会社 Method for manufacturing semiconductor device
JP2950272B2 (en) * 1997-01-24 1999-09-20 日本電気株式会社 Semiconductor thin film manufacturing method
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6348096B1 (en) * 1997-03-13 2002-02-19 Nec Corporation Method for manufacturing group III-V compound semiconductors
EP0874405A3 (en) * 1997-03-25 2004-09-15 Mitsubishi Cable Industries, Ltd. GaN group crystal base member having low dislocation density, use thereof and manufacturing methods thereof
EP2234142A1 (en) 1997-04-11 2010-09-29 Nichia Corporation Nitride semiconductor substrate
US5859447A (en) * 1997-05-09 1999-01-12 Yang; Edward S. Heterojunction bipolar transistor having heterostructure ballasting emitter
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2764118B1 (en) * 1997-05-30 2000-08-04 Thomson Csf STABILIZED BIPOLAR TRANSISTOR WITH ELECTRICAL INSULATING ELEMENTS
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
JP2967477B2 (en) * 1997-11-26 1999-10-25 日本電気株式会社 Method for manufacturing semiconductor device
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
TW465101B (en) 1998-09-04 2001-11-21 Canon Kk Semiconductor substrate and method for producing the same
JP3478141B2 (en) * 1998-09-14 2003-12-15 信越半導体株式会社 Heat treatment method for silicon wafer and silicon wafer
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
TW457557B (en) 1998-10-29 2001-10-01 Shinetsu Handotai Kk Semiconductor wafer and its manufacturing method
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6348098B1 (en) * 1999-01-20 2002-02-19 Mykrolis Corporation Flow controller
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) * 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (en) 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
KR100301246B1 (en) * 1999-06-30 2001-11-01 박종섭 Method of manufacturing a semiconductor device
US6190453B1 (en) * 1999-07-14 2001-02-20 Seh America, Inc. Growth of epitaxial semiconductor material with improved crystallographic properties
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (en) 1999-11-18 2001-06-15 이경수 Method of vaporizing a liquid source and apparatus used therefor
EP1247292B1 (en) 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
JP2001274387A (en) 2000-03-28 2001-10-05 Seiko Epson Corp Semiconductor device and manufacturing method thereof
US6316795B1 (en) 2000-04-03 2001-11-13 Hrl Laboratories, Llc Silicon-carbon emitter for silicon-germanium heterojunction bipolar transistors
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (en) 2000-04-12 2002-04-12 최승철 Conditioner and conditioning process for polishing pad of chemical mechanical polishing apparatus
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
JP3687651B2 (en) 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
AU2001283138A1 (en) * 2000-08-07 2002-02-18 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
JP2002198525A (en) * 2000-12-27 2002-07-12 Toshiba Corp Semiconductor device and its manufacturing method
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
JP3557457B2 (en) 2001-02-01 2004-08-25 東北大学長 Method for manufacturing SiC film and method for manufacturing SiC multilayer film structure
KR101027485B1 (en) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
WO2002097864A2 (en) * 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US6974730B2 (en) * 2003-12-17 2005-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a recessed channel field effect transistor (FET) device
US7910448B2 (en) * 2004-01-23 2011-03-22 Nxp B.V. Method for fabricating a mono-crystalline emitter
TWI233187B (en) * 2004-03-30 2005-05-21 Taiwan Semiconductor Mfg MOS device and fabrication method thereof
WO2005116304A2 (en) 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7335959B2 (en) * 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US7226820B2 (en) * 2005-04-07 2007-06-05 Freescale Semiconductor, Inc. Transistor fabrication using double etch/refill process
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
US7405131B2 (en) * 2005-07-16 2008-07-29 Chartered Semiconductor Manufacturing, Ltd. Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217391A (en) * 2003-10-31 2005-08-11 Internatl Business Mach Corp <Ibm> High-mobility hetero-junction complementary field-effect transistor and its method
WO2006060339A2 (en) * 2004-12-01 2006-06-08 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP2008522437A (en) * 2004-12-01 2008-06-26 アプライド マテリアルズ インコーポレイテッド Selective epitaxy process with alternating gas supply
JP2008078347A (en) * 2006-09-21 2008-04-03 Sony Corp Method for manufacturing semiconductor device and semiconductor device
WO2008063543A2 (en) * 2006-11-21 2008-05-29 Advanced Micro Devices, Inc. Stress enhanced mos transistor and methods for its fabrication
JP2010510684A (en) * 2006-11-21 2010-04-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Stress enhanced MOS transistor and method of manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014045208A (en) * 2009-07-28 2014-03-13 Taiwan Semiconductor Manufactuaring Co Ltd Transistor structure of integrated circuit
WO2011078240A1 (en) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 Method for selectively growing doped epitaxial film, and method for selectively growing doped epitaxial film
JP2017162850A (en) * 2016-03-07 2017-09-14 東京エレクトロン株式会社 Method of embedding recess and processing device
TWI700784B (en) * 2016-03-07 2020-08-01 日商東京威力科創股份有限公司 Recess filling method and storage medium

Also Published As

Publication number Publication date
KR101548013B1 (en) 2015-08-27
TW200917377A (en) 2009-04-16
KR20090030226A (en) 2009-03-24
JP5382642B2 (en) 2014-01-08
US7759199B2 (en) 2010-07-20
TWI509699B (en) 2015-11-21
US20090075029A1 (en) 2009-03-19

Similar Documents

Publication Publication Date Title
JP5382642B2 (en) Stressor that gives the designed strain to the channel
US8278176B2 (en) Selective epitaxial formation of semiconductor films
US8367528B2 (en) Cyclical epitaxial deposition and etch
US7682940B2 (en) Use of Cl2 and/or HCl during silicon epitaxial film formation
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
TWI520227B (en) High throughput cyclical epitaxial deposition and etch process
TWI400744B (en) Formation of epitaxial layers containing silicon and carbon
JP5808522B2 (en) Formation of epitaxial layers containing silicon
US20130320429A1 (en) Processes and structures for dopant profile control in epitaxial trench fill
US20140120678A1 (en) Methods for Selective and Conformal Epitaxy of Highly Doped Si-containing Materials for Three Dimensional Structures
US20120043556A1 (en) Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
TWI382456B (en) Epitaxial growth of relaxed silicon germanium layers
US7901968B2 (en) Heteroepitaxial deposition over an oxidized surface

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130719

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130917

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130924

R150 Certificate of patent or registration of utility model

Ref document number: 5382642

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250