JP2008526030A - 集積熱ユニット - Google Patents

集積熱ユニット Download PDF

Info

Publication number
JP2008526030A
JP2008526030A JP2007548478A JP2007548478A JP2008526030A JP 2008526030 A JP2008526030 A JP 2008526030A JP 2007548478 A JP2007548478 A JP 2007548478A JP 2007548478 A JP2007548478 A JP 2007548478A JP 2008526030 A JP2008526030 A JP 2008526030A
Authority
JP
Japan
Prior art keywords
substrate
plate
firing
station
shuttle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007548478A
Other languages
English (en)
Inventor
デイヴィッド, エイチ. コーク,
マーティン, ジェフ サリナス,
哲也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Screen Semiconductor Solutions Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/174,988 external-priority patent/US7282675B2/en
Priority claimed from US11/174,781 external-priority patent/US7297906B2/en
Priority claimed from US11/174,782 external-priority patent/US7288746B2/en
Priority claimed from US11/174,681 external-priority patent/US7274005B2/en
Application filed by Screen Semiconductor Solutions Co Ltd filed Critical Screen Semiconductor Solutions Co Ltd
Publication of JP2008526030A publication Critical patent/JP2008526030A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

焼成プレートの表面にサポートされた基板を加熱するように構成された焼成プレートと、冷却プレートの表面にサポートされた基板を冷却するように構成された冷却プレートと、該焼成プレートから該冷却プレートに基板を移送するように構成された基板移送シャトルとを備えており、該基板移送シャトルが、該焼成プレートによって加熱された基板を冷却可能な温度コントロール基板保持表面を有している集積熱ユニット。
【選択図】 図1

Description

発明の背景
[0001]本発明は概して基板処理機器の分野に関する。より具体的には、本発明は、集積回路の形成に使用される、半導体基板などの基板の温度をコントロールするための方法および装置に関する。
[0002]現在の集積回路は、マイクロメーターという小型のサイズに集積回路を製作する、シリコン、金属および/または誘電層などの材料をパターニングすることによって形成される多数の個々の要素を含んでいる。このようなパターンを形成するために業界で使用される技術はフォトリソグラフィである。通常のフォトリソグラフィプロセスシーケンスは概して、基板の表面に1つ以上の均一なフォトレジスト(レジスト)層を堆積するステップと、この堆積された層を乾燥および硬化させるステップと、暴露された層を修正するのに適した電磁放射にフォトレジスト層を暴露することによって基板をパターニングするステップと、パターニングされたフォトレジスト層を現像するステップとを含んでいる。
[0003]フォトリソグラフィプロセスと関連したステップの多くは、半導体ウェーハを制御下で順次処理する性能を有しているマルチチャンバ処理システム(例えば、クラスタツール)において実行されることが半導体産業では一般的である。フォトレジスト材料を堆積(つまりコーティング)および現像するのに使用されるクラスタツールの一例はトラックリソグラフィツールと一般的に称される。
[0004]トラックリソグラフィツールは通常、事前および事後リソグラフィ処理と関連した種々のタスクを実行するためだけの(ここではステーションと称されることがある)複数のチャンバを収容するメインフレームを含んでいる。通常はトラックリソグラフィツール内にウェットおよびドライ処理チャンバの両方がある。ウェットチャンバはコーティングおよび/または現像ボールを含んでいるのと同時に、ドライチャンバは、焼成および/または冷却プレートを収容する熱コントロールユニットを含んでいる。トラックリソグラフィツールはまたしばしば、クリーンルームから基板を受け取りかつこれに基板を戻すための、業界標準FOUP(Front opening unified pod)などの1つ以上のポッド/カセット搭載デバイスと、トラックツールの種々のチャンバ/ステーション間に基板を移送するための複数の基板移送ロボットと、基板を暴露ツールに移送して、基板が暴露ツール内で処理された後に暴露ツールから基板を受け取るために、ツールをリソグラフィ暴露ツールに動作可能に結合させるインタフェースとを含んでいる。
[0005]長年、半導体デバイスのサイズ縮小という強い要望が半導体業界にあった。部材サイズの縮小はプロセス変動性に対する産業界の許容範囲を縮小し、そしてこれは、プロセスの均一性および反復性に対してより厳密な要件を有する半導体製造仕様をもたらすことになった。トラックリソグラフィ処理シーケンス中のプロセス変動性を最小化する際の重要な要因は、特定の用途向けのトラックリソグラフィツール内で処理された各基板が同じ「ウェーハ履歴」を有することを保証することである。基板のウェーハ履歴は概してプロセスエンジニアによって監視および制御されて、後にデバイスの性能に影響を与えうるデバイス製作処理変数のすべてが制御されることを保証するため、同じバッチ内のすべての基板は常に同様に処理される。
[0006]各基板が同じ「ウェーハ履歴」を有することを保証するためには、各基板が同じ反復可能な基板処理ステップ(例えば、一貫したコーティングプロセス、一貫したハード焼成プロセス、一貫した冷却プロセスなど)を経験し、かつ種々の処理ステップ間のタイミングが基板ごとに同じであることを必要とする。リソグラフィタイプデバイス製作プロセスはとりわけプロセスレシピ変数の変更およびレシピステップ間のタイミングに敏感であり、これはプロセス変動性、最終的にはデバイス性能に直接影響を与える。
[0007]これらの要件に関して、半導体業界は、トラックリソグラフィおよび他のタイプのクラスタツールにおけるウェーハ履歴の均一性を改善可能な方法を絶え間なく探究し、かつツールおよび技術を開発し続けている。
発明の概要
[0008]本発明に従って、半導体製造機器に関する方法および装置が提供される。より具体的には、本発明の実施形態は、高制御下で基板を加熱および/または冷却するための方法および装置に関する。本発明の実施形態は、複数の基板が高制御下で同一の加熱および冷却シーケンスに従って処理されることによって、基板ごとに一貫したウェーハ履歴を保証する助けとなることを想定している。本発明の実施形態には、トラックリソグラフィツールのチャンバまたはステーションにおいて基板を加熱および/または冷却する際にとりわけ有用なものがあり、同時に、本発明の実施形態には、高制御下で基板を加熱および冷却するのが望ましい他の用途に使用可能なものもある。
[0009]本発明の特定の実施形態は、集積熱ユニットに関する。このような実施形態の1つによると、集積熱ユニットは、焼成プレートの表面にサポートされた基板を加熱するように構成された焼成プレートと、冷却プレートの表面にサポートされた基板を冷却するように構成された冷却プレートと、該焼成プレートから該冷却プレートに基板を移送するように構成された基板移送シャトルとを備えており、該基板移送シャトルは、該焼成プレートによって加熱された基板を冷却することができる温度コントロール基板保持表面を有している。
[0010]本発明の別の実施形態によると、集積熱ユニットは、基板を保持および加熱するように構成された焼成プレートを備える焼成ステーションと、基板を保持および冷却するように構成された冷却プレートを備える冷却ステーションと、該熱ユニット内の水平線形経路に沿って該焼成プレートから該冷却プレートに基板を移送して、該集積熱ユニット内の垂直経路に沿って基板を昇降させるように構成された基板移送シャトルとを備えている。
[0011]本発明の別の実施形態によると、集積熱ユニットは、焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートとを備えており、該焼成プレートが該焼成位置にある場合に該焼成プレートの該基板保持表面が第1の略水平平面に位置決めされ、かつ該冷却プレートが冷却位置にある場合に該冷却プレートの該基板保持表面が該第1の平面の下方にある第2の略水平平面に位置決めされる。
[0012]本発明のさらに別の実施形態に従って、焼成ステーションが提供される。該焼成ステーションは、上部焼成位置と下部冷却位置間を垂直に移動可能な、焼成プレートの上部表面上にサポートされた基板を加熱するようになっている焼成プレートと、該焼成プレートが該下部冷却位置にある場合に該焼成プレートの下部表面に係合可能に結合されるようになっている複数のヒートシンクとを備えている。
[0013]本発明の特定の他の実施形態は、ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、該1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットとを備えるトラックリソグラフィツールに関しており、該処理モジュールのうちの少なくとも1つは、上記実施形態のうちの1つに従った集積熱ユニットを含んでいる。
[0014]本発明のさらに別の実施形態は、集積熱ユニットにおいて基板を処理するための方法に関する。このような一実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、温度コントロール表面を有するシャトルによって該基板を該焼成プレートから該冷却プレートに移送するステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。
[0015]別の実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、該基板を該焼成プレートから該冷却プレートに移送するステップであって、該移送ステップは該集積熱ユニット内で、該基板を水平線形経路に沿っておよび垂直経路に沿って基板移送シャトルによって移動させる工程を含むステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。
[0016]別の実施形態によると、焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法は、液体レジスト材料をその上に塗布している基板を該集積熱ユニットに移送するステップと、該基板を該焼成プレートに位置決めするステップと、該基板を該焼成プレートによって加熱するステップと、温度コントロール表面を有するシャトルによって該基板を該焼成プレートから該冷却プレートに移送するステップと、該基板を該冷却プレートによって冷却するステップと、該集積熱ユニット外に該基板を移送するステップとを備えている。
[0017]本発明のさらに別の実施形態に従って、焼成プレートのセットポイント温度を急速に低下させるための方法が提供される。本実施形態は、該焼成プレートを使用して、該焼成プレートが焼成位置にある時に該焼成プレートの上部表面に配置された基板を加熱した後に、該焼成プレートの下部表面が、該焼成プレートの該下部表面に係合可能に結合されるようになっている複数のヒートシンクに接触する下部位置に該焼成プレートを垂直移動させるステップを備えている。
[0018] 従来の技術に対する多数の利点が本発明によって達成される。例えば、焼成および冷却プレートを1つの集積ユニットに含めることによって、焼成されたウェーハを該冷却プレートに移送することに伴う遅延が最小化される。また、該焼成および冷却プレート間でウェーハを移送する温度コントロール基板保持表面を有するシャトルを含むことは、各ウェーハの熱履歴に対するさらなるコントロール度合いを提供することによって、複数のウェーハ間のより均一な熱履歴を可能にする。さらに、本発明の実施形態は、トラックリソグラフィツールの(複数の)メイン中央ロボットの負荷を減少させることによってチャンバスループットを増加させ、メイン中央ロボットの故障の場合には焼成後ウェーハに安全な場所を提供する。他の実施形態は、焼成プレートの該セットポイント温度を第1の温度から、該第1の温度より低い第2の温度に変化させるのにかかる時間を短縮することによってウェーハスループットを増加させる。実施形態に応じて、これらの利点のうちの1つ以上ならびに他の利点が達成可能である。これらおよび他の利点について本明細書にわたってより詳細に、かつ以下の図面と関連してより具体的に説明する。
発明の詳細な説明
[0035]本発明は概して、高制御下で基板を加熱および冷却するための方法および装置を提供する。トラックリソグラフィツール内で具体的な熱レシピに従って加熱および冷却される複数の基板の各基板につき一貫したウェーハ履歴を保証する助けとなる際にとりわけ有用である本発明の実施形態もあり、同時に、高制御下で基板を加熱および冷却することが望ましい他の用途で使用可能な実施形態もある点が理解されるべきである。
[0036]図1は、本発明に従った集積熱ユニット10の一実施形態の簡略概念図である。集積熱ユニット10は焼成ステーション12と、冷却ステーション14と、シャトルステーション16とを含んでおり、すべては密閉ハウジング40内にある。冷却ステーション16は、必要ならば、焼成および冷却ステーション間で基板を移送するためのシャトル18を含む。焼成ステーション12は焼成プレート20と、エンクロージャ22と冷却ベース24とを含む。焼成プレート20は(図1に示された)ウェーハロード位置と、焼成プレートがクラムシェルエンクロージャ22に対してかつこの中に電動リフト28によって付勢される閉鎖加熱位置と、焼成プレートが冷却ベース24に接触する冷却位置との間で移動可能である。冷却ベース24は焼成プレートに係合可能に結合されて、例えば新たな熱レシピに切り替える場合に、焼成プレートのセットポイント温度を比較的高い焼成温度から低い焼成温度に急速に変化させるのを可能にする。
[0037]冷却ステーション14は冷却プレート30と、シャトル18が冷却ステーションを通過してウェーハを焼成ステーション12に対して移送する場合に、冷却プレート30上にあるウェーハを生じうる粒子汚染から保護する粒子シールド32とを含んでいる。基板は、シャッター34aおよび34bにそれぞれ動作可能に結合されている細長い開口を介して熱ユニット10に対して移送可能である。
[0038]図1に示された集積熱ユニット10の簡略斜視図である図2Aに示されているように、熱ユニット10は、アルミニウムや他の適切な材料からなる外部ハウジング40を含む。ハウジング40は、焼成ステーション12、冷却ステーション14およびシャトルステーション16を横方向に隣接させ、かつ複数の集積熱ユニットを、図14を参照して後述されるようなトラックリソグラフィツールの相互の上部に積層させるために、高さに対して長い。具体的な実施形態では、ハウジング40の高さはちょうど20センチメートルである。
[0039]ハウジング40は側部ピース40aと、上部ピース40bと底部ピース40cとを含む。フロントサイドピース40aは、基板を熱ユニットに対して移送させる2つの細長い開口41a、41bを含む。開口41aはシャッター34a(図示せず)によって閉鎖およびシールされるように動作可能に結合され、開口41bはシャッター34b(図示せず)によって閉鎖およびシールされるように動作可能に結合される。ハウジング40の上部ピース40bは、適切なプレート(図示せず)がスクリューホール44を介して上部ピース40bに取り付けられる場合に上部ピース40bの温度をコントロールするために、冷却流体をチャネルを介して循環させる冷却剤チャネル42を含む。類似の冷却剤チャネルが底部ピース40cの下部表面に形成される。
[0040]焼成ステーション12の正確な焼成動作および冷却ステーション14の正確な冷却動作をコントロールする種々のコントロール回路46a乃至46d、およびより詳細に後述されるように、シャトル18(図2Aには見えない)が熱ユニットの長さに沿って線形に、および熱ユニット内で垂直に移動できるようにするトラック48および49もまた図2Aに示されている。一実施形態では、コントロール回路46a乃至46bは、各ステーションと関連した温度調整機構のより正確かつ応答的なコントロールを可能にするためにステーション12および14付近に(例えば、3フィート以内に)位置決めされる。
[0041]図2Bは、(図1に示された)上部40bおよびシールド32が除去されている集積熱ユニット10の簡略斜視図である。図2Bには、焼成ステーション12のシャトル18、冷却プレート30およびクラムシェルエンクロージャ22が見える。ハウジング40の後部サポートピース90および底部ピース40c間の空間47も見える。図5にも見える空間47は詳細に後述されるように集積熱ユニット10の長さの大部分に沿って延びており、シャトル18にステーション12、14および16間でウェーハを移送させる。
[0042]集積熱ユニット10の一般的な動作をより良好に認識および理解するために、図1および2Bに伴って図3を参照する。図3は、本発明の方法の一実施形態に従ってウェーハを熱処置するために熱ユニット10によって実行されるイベントのシーケンスを図示する簡略ブロック図である。ウェーハは、例えばトラックリソグラフィツールの適切なコーティングステーションでフォトレジスト層をウェーハにわたって堆積させた後、図3に説明されているプロセスに従って処置されてもよい。以下の説明はユニット10内での単一ウェーハの処置に焦点を合わせているが、当業者は、熱ユニット10はしばしば2つのウェーハを同時処理するために使用されることを理解するであろう。例えば、一方のウェーハが焼成プレート20で加熱されている間、熱ユニット10は、冷却プレート30上で別のウェーハを冷却したり、その熱処置の完了時に熱ユニット外に別のウェーハを移送したりするプロセス中であってもよい。
[0043]図3に示されているように、熱ユニット10のウェーハ履歴は、ウェーハをウェーハ移送スロット41bを介して熱ユニット10に移送して、このウェーハをシャトルステーション16の静止リフトピン36(図1)上に置くことによって開始する(図3、ステップ50)。ウェーハは、例えば、ウェーハ移送スロット41aおよび41bならびに、トラックリソグラフィツール(図示せず)の1つ以上のコーティングまたは現像ステーションの両方にサービス提供する中央ロボットによって熱ユニット10に移送されてもよい。通常ウェーハ移送スロット41bはシャッター34bによって閉鎖されることによって、ステップ50はまた、スロット41bを開放するためにシャッター34bを移動させる工程を含んでいる。ステップ50中、シャトル18は、リフトピン36がシャトル18のスロット19aおよび19bを介して延びるステーション16におけるウェーハ受け取り位置にある。ウェーハがリフトピン36に適切に位置決めされた後、ロボットアームは熱ユニットから後退し、冷却シャトル18は静止リフトピン36からウェーハを持ち上げるために上昇してから(図3、ステップ51)、ウェーハを焼成ステーション12に移送するために熱ユニットの長さに沿って線形に移動される(図3、ステップ52)。焼成ステーション12への経路は、冷却ステーション14の粒子シールド32上にシャトル18を導く。
[0044]焼成ステーション12において、ウェーハはリフトピン38に置かれて、シャトル18は自由に別のタスクを取り扱ったり、シャトルステーション16におけるこのホーム位置に戻ったりすることができる(図3、ステップ53)。シャトルがホーム位置に戻っている間、焼成プレート20は電動リフト28によって上昇することによって、ウェーハを静止リフトピン38から取り上げ、ウェーハをクラムシェルエンクロージャ22内の焼成位置に持っていくことができる(図3、ステップ54)。クラムシェルエンクロージャ22内部に入ると、ウェーハは所望の熱レシピに従って加熱または焼成される(図3、ステップ55)。
[0045]焼成ステップ55の完了後、焼成プレート20は、ウェーハをリフトピン38から下ろすウェーハ受け取り位置に下げられる(図3、ステップ56)。次に、シャトル18は焼成ステーション12に戻り、ウェーハをリフトピン38から取り上げ(図3、ステップ57)、ウェーハを冷却ステーション14に持っていく(図3、ステップ58)。冷却ステーション14への経路はシャトルを、粒子シールド32を経て、シャトル18が冷却ステーション14に対して低下および移動させられるシャトルステーション16に導く。冷却ステーション14に入ると、リフトピン37は空気圧リフトによって上昇して、ウェーハをシャトルから持ち上げる(図3、ステップ59)。シャトル18はそして自由に別のタスクを取り扱ったり、ステーション16におけるホーム位置に戻ったりすることができ(図3、ステップ60)、またリフトピン37は、ウェーハを冷却プレート30に下ろすために低下される(図3、ステップ61)。
[0046]ウェーハは次いで所定の熱レシピに従って冷却プレート30上で冷却される(図3、ステップ62)。冷却プロセスの完了後、リフトピン37は、ウェーハを冷却プレートから取り上げるために上昇し(図3、ステップ63)、ウェーハは、例えばステップ50でウェーハを熱ユニットに移送した同一の中央ロボットによって取り上げられることによって、細長いスロット41aを介して集積熱ユニット外に移送される(図3、ステップ64)。通常、細長いスロット41aはシャッター34aによって閉鎖されることによって、ステップ64もまた、スロット41aを開放するためにシャッター34aを開く工程を含む。
[0047]本発明の実施形態によって上記のようなプロセスが、高度に制御可能かつ高度に反復可能な方法で実施される。従って、本発明の実施形態は、具体的な熱レシピに従って集積熱ユニット10内で処理される各ウェーハの熱処置の極めて高い均一度を保証する助けとなる。より詳細に後述されるように、本発明の多数の具体的態様は、相互に独立してあるいは組み合わさって、このような反復可能な均一ウェーハ履歴を達成する助けとなるように使用可能である。
[0048]このような態様は冷却プレート30に対するホットプレート20の配置である。具体的には、本発明の一部の実施形態では、ホットプレート20は、冷却プレート30の位置よりも高い位置で集積熱ユニット10内に位置決めされる。焼成プレート20から発生された熱は概して熱ユニット10の上部位置に上昇するので、このような位置決めは、経時的なウェーハの熱処置の相違につながることがある焼成ステーションと冷却ステーション間の熱クロストークを最小化する助けとなる。
[0049]本発明のこの態様は図4に示されており、これは、焼成プレート20および冷却プレート30を示す集積熱ユニット10の一部の簡略断面図である。図4に示されるように、ホットプレート20がクラムシェルエンクロージャ22内の焼成位置71にある場合、ウェーハサポート表面70は、冷却プレート30のウェーハサポート表面72がある水平平面Cからかなり上方の水平平面Aにある。一部の実施形態では、平面Aは平面Cから少なくとも4cm上方にあり、具体的な実施形態では、平面Aは平面Cから6cm上方にある。さらに、本発明の一部の実施形態では、焼成プレートが(後述の)ヒートシンク140と係合される場合も、ウェーハ受け取り位置にある間は、焼成プレートの上部表面70は、冷却プレートの上部表面72(平面C)の上方にある水平平面Bにある。一部の実施形態では、平面Bは平面Cから少なくとも2cm上方にあり、具体的な実施形態では、平面Bは平面Cから2.5cm上方にある。また、一部の実施形態では、粒子シールド32の上部表面はまた実質的に平面Bの近くにある。
[0050]焼成プレート20および冷却プレート30の位置のこのような高さの違いを維持することは、2つのステーション間の熱クロストークを最小化する助けとなり、また複数のウェーハ間の高度に制御された反復可能な熱処置を保証する助けとなる。
[0051]各ウェーハの熱処置のかなり高度の均一性を保証する助けとなる本発明の別の態様はシャトル18の設計である。シャトル18の簡略斜視図である図5に示されているように、シャトルは、シャトルがウェーハを一方のステーションからもう一方に移送する際に半導体ウェーハが置かれるウェーハ受け取りエリア74を含んでいる。一実施形態では、シャトル18はアルミニウムからなり、ウェーハ受け取りエリア74およびシャトルの上部表面75の他の部分は、シャトルの(通路75として図4に示された)冷却剤通路を流れる冷却剤(例えば、脱イオン水)によってアクティブに冷却される。
[0052]冷却剤は、入口/出口76に接続するチューブによって通路75に送出され、これはまたシャトル全体に流体を均一に分布する助けとなるシャトル18の一部79内のマニホルド(図示せず)に接続している。流体チューブは、シャトル18が集積熱ユニットの長さを横切る際にチューブサポート機構77のフィンガ78によって少なくとも部分的にサポートされる。ウェーハ受け取り表面74をアクティブに冷却することは、ウェーハが熱ユニット10内にある間は常にウェーハ温度の正確な熱コントロールを維持する助けとなる。シャトル18をアクティブに冷却することはまた、ウェーハが専用冷却ステーションに移送されるまでこのようなアクティブ冷却が生じなければ開始されるであろう場合よりも早くウェーハ冷却プロセスを開始し、これはまたウェーハの熱バジェット全体を低下させる。
[0053]スロット19a、19b、ウェーハポケットボタン80および小型接触エリア近接ピン82およびスロット19a、19bもまた図5に示されている。スロット19a、19bによってシャトルは、リフトピンによって保持されているウェーハの下に位置決めまたは移動される。例えば、冷却ステーション14において、ウェーハは、冷却ステップ63の前後に三角形に配列された1セット3つのリフトピン上の冷却プレートの上方に保持される(リフトピンが冷却プレート30を介して延びるようにするホール84を示す図7を参照)。スロット19aは、シャトル18が3つのリフトピンのうちの2つをスライド通過するように整列され、スロット19bは、シャトルが第3のリフトピンをスライド通過するように整列される。ポケットボタン80はシャトル18の上部表面のスレッドホールにねじ止めし、この表面の上方に延びてウェーハ受け取りエリア74内にウェーハをセンタリングする助けとなる。ポケットボタン80は、強い疲労抵抗および熱安定性を示す熱可塑性材料などの相当に柔軟な材料から形成可能である。一実施形態では、ボタン80はポリエーテルエーテルケトンからなり、これはPEEKとしても既知である。
[0054]近接ピン82はシャトル18の上部表面74にわたって分布されており、サファイアなどの摩擦係数の低い材料から製作される。近接ピン82によって、シャトル18によって搬送中のウェーハは、温度コントロール表面74に極めて近接させられる。ウェーハおよび温度コントロール表面74間の小空間は、ウェーハの表面エリア全体にわたって均一な冷却をもたらす助けとなるのに対して、同時に、ウェーハの下面とシャトル間の接触を最小化することによって、粒子や汚染物がこのような接触から生じる可能性を低下させる。近接ピン82のさらなる詳細は、2005年4月20日に出願され、“Purged Vacuum Chuck with Proximity Pins”と題された米国出願第11/111,155号(代理人整理番号:A9871/T60200)に説明されており、これは参照してここに組み込まれている。一具体的な実施形態においては、シャトル18は4個のポケットボタン80および17個の近接ピン82を含んでいる。
[0055]シャトル18はまた、焼成ステーション12および冷却ステーション14が除去されている集積熱ユニット10の一部の斜視図である図6に示されたサポートプレート88にシャトルを搭載させる細長いU状サポートブラケット86を含んでいる。図6に見られるように、サポートプレート88は、スロット47を介して底部プレート40cに搭載される後部サポートピース90の下およびこの付近にループする。プレート88(ひいてはシャトル18)はトラック48(水平経路X)に沿って線形に移動可能である。プレート88はまたトラック49に沿って垂直にスライドし、特定のステーションでウェーハを取り上げ、かつ/またはこれを落とすために、シャトル18を昇降させる(垂直経路Z)。
[0056]次に、本発明の一実施形態に従った冷却プレート30の斜視図である図7を参照すると、冷却プレート30は、脱イオン水などの冷却液体を冷却剤チャネル(図示せず)を介して循環させてウェーハサポート表面72上にサポートされているウェーハを冷却する冷却剤入口95および出口96を含んでいる。冷却プレート30はまた、図5を参照して上述されたボタン80および近接ピン82に類似の多数のウェーハポケットボタン85および小型接触エリア近接ピン83を含んでいる。一具体的な実施形態では、冷却プレート30は8個のポケットボタン85および17個の近接ピン83を含んでいる。また、図7には示されていないが、冷却プレート30は複数の真空ポートを含んでもよく、また冷却プロセス中にウェーハを冷却プレートに固定するために真空チャックに動作可能に結合されてもよい。
[0057]図7にも示されていないが、(図1に示された)粒子シールド32は、冷却プレートと、冷却プレート上に位置決めされた任意のウェーハとを、シャトル18が冷却プレート30上の焼成ステーション12およびシャトルステーション16間を横切る場合に生じうる粒子汚染から保護するために、冷却プレート30上方に位置決めされる。粒子シールド32は必要ならばシャトル18を粒子シールドの下を通過させかつ冷却プレート30にアクセスさせる方法で、焼成ステーション12および冷却ステーション14間の底部ハウジングピース40c(図4参照)と、ハウジング40のフロントサイドピース40aとに接続される。一具体的実施形態では、粒子シールド32はステンレス鋼からなる。
[0058]次に図8、9および10を参照すると、図8は、本発明の一実施形態に従った、図2Bに示された焼成ステーション12の斜視図であり、図9は、図8に示された焼成ステーション12の断面の斜視図であり、図10は、焼成ステーションの断面図である。図8乃至10に示されるように、焼成ステーション12は、焼成プレート20、上部ヒートプレート110および側部ヒートプレート112の3つの個別等温加熱要素を有しており、これらの各々は、アルミニウムや他の適切な材料からなる、高熱伝導率を示す材料からなる。各プレート20、110、112は、プレート内に埋め込まれた加熱要素、例えば抵抗加熱要素を有している。焼成ステーション12はまた、それぞれ側部の上部および底部のヒートシールド116および118、ならびに(図10にのみ示されている)焼成プレート20および蓋120を囲む底部カップ119を含んでいる。ヒートシールド116、118、カップ119および蓋120の各々はアルミニウムからなる。蓋120は、スレッドホール115を介してスレッド接続された8個のねじによって上部ヒートプレート110に取り付けられている。
[0059]焼成プレート20は電動リフト26に動作可能に接続されているため、焼成プレートはクラムシェルエンクロージャ22内に上昇し、かつウェーハ受け取り位置に降下することが可能である。通常、ウェーハは、図4に位置71で示された焼成位置に上昇する場合に、焼成プレート20で加熱される。焼成位置にある場合、カップ119は、焼成プレートおよびエンクロージャ22によって形成された内部キャビティ内に、焼成プレート20によって発生された熱を閉じ込める助けとなるクラムシェル配列を形成する側部ヒートプレート112の底部を囲む。一実施形態では、焼成プレート20の上部表面は、シャトル18および冷却プレート30を参照して説明したものと類似の8個のウェーハポケットボタンおよび17個の近接ピンを含んでいる。また、一実施形態では、焼成プレート20は複数の真空ポートを含んでもよく、また焼成プロセス中にウェーハを焼成プレートに固定するために真空チャックに動作可能に結合されてもよい。
[0060]焼成プロセス中は、フェースプレート122が焼成プレート20のウェーハサポート表面70の真上に、かつこれに対向して位置決めされる。フェースプレート122はアルミニウムならびに他の適切な材料からなってもよく、また焼成プレート20上で焼成中のウェーハの表面から焼き出されたガスおよび汚染物を、フェースプレート122を介して、フェースプレート122および上部ヒートプレート110間に作成された半径方向内側のガス流124に流す複数のホールまたはチャネル122aを含んでいる。
[0061]半径方向内側のガス流124からのガスはまず、ガス入口ライン127によって、上部ヒートプレート110の外部を囲む環状ガスマニホルド126で焼成ステーション12に導入される。ガスマニホルド126は、上部ヒートプレート110の下部表面およびフェースプレート122の上部表面間のキャビティ132にマニホルド126からガスを流す多数の小型ガス入口130(一実施形態では128個の入口)を含んでいる。ガスは、複数のガス出口ホール136を含む拡散プレート134を介してステーションの中心に向かって半径方向内側に流れる。拡散プレート134を流れた後、ガスはガス出口ライン128を介して焼成ステーション12を出る。
[0062]本発明の一態様は、ある熱レシピから別の熱レシピへの切り換えと関連した任意の遅延を最小化する助けとなることによって、集積熱ユニット10を介する高いウェーハスループットが図11および12を参照して後述されることを保証する助けとなる。図11は、図8乃至10に示された焼成ステーション12の底部斜視図である。図11に示されたように、本発明の一実施形態では、焼成ステーション12は複数の係合可能なヒートシンク140を含んでいる。各係合可能なヒートシンク140は、アルミニウム、銅、ステンレス鋼または他の金属などの適切なヒートシンク材料からなる。
[0063]上記のように、焼成プレート20は具体的な熱レシピに従ってウェーハを加熱する。熱レシピの一要素は通常、ウェーハ加熱するために焼成プレートが設定されるセットポイント温度である。焼成プロセス中、ウェーハの温度は定期的に測定されて、焼成プレートの1つ以上のゾーンは、基板の均一な加熱を保証するように調整可能である。通常焼成プレートは、多数のウェーハが同一の熱レシピに従って処理される際に所望のセットポイント温度に加熱される。従って、例えば、具体的な熱レシピがセットポイント温度175℃を必要とし、このレシピが100個の連続ウェーハ上で実施される場合、焼成プレート20は、100個の連続ウェーハを処理するのにかかる時間中175℃に加熱される。しかしながら後続の200個のウェーハが、例えばセットポイント温度130℃を必要とする異なる熱レシピに従って処理される場合、焼成プレート20のセットポイント温度は、100番目のウェーハおよび101番目のウェーハの処理の間に175℃から130℃に急速に変更される必要がある。
[0064]本発明の実施形態は、モーター26によって焼成プレートをウェーハ受け取り位置の下方にある下部冷却位置に下げることによって、焼成プレート20のセットポイント温度の急速な低下を可能にする。冷却位置において、焼成プレートの底部表面73は各ヒートシンク140の上部表面142に接触する。底部カップ119は、ヒートシンクを底部カップ119を介して延ばして焼成プレート20に接触させる複数のヒートシンク140に対応する複数のホール138を含んでいるため、ヒートシンクおよび焼成プレート間の接触は可能である。
[0065]図12は、係合可能なヒートシンク140の簡略断面図である。図12に示されるように、各係合可能なヒートシンク140は、ヒートシンクの本体よりも長い直径を有する下部ベース部分144を含んでいる。下部ベース部分144は、底部ベースプレート40cおよびアルミニウムプレート150によって画定されるキャビティ152内に嵌合する。ヒートシンクのベース部分144は底部ベースプレートのリップ154に係合し、アルミニウムプレート150およびベース部分140間に位置決めされたばね145によってリップに対して押圧される。
[0066]焼成プレート20が冷却位置に下げられる場合、ばね145はヒートシンク140に、焼成プレートの下部表面73を押圧させる。すべてのヒートシンク140の合計熱質量によって焼成プレート20は、例えば新たな熱レシピに推移する場合に必要とされるようなより低いセットポイント温度にあるセットポイント温度から急速に冷却される。
[0067]図11および12に示されたヒートシンク140は円筒形形状に示されているが、多数の他の形状およびサイズが使用可能である。また、一部の実施形態では、各ヒートシンク140は、ヒートシンクの本体内に1つ以上の冷却剤チャネルを形成することによってアクティブに冷却可能である。また一部の実施形態では、ヒートシンク140は、係合プロセス中にヒートシンクおよび焼成プレート間に円滑な接触を提供する熱パッドをその上部表面142に含んでいる。
[0068]図13は、本発明に従った集積熱ユニット150の代替実施形態の概念図である。図13に示された本発明の実施形態と図1に示された実施形態との主要な違いは、それぞれ焼成ステーション12、冷却ステーション14およびシャトルステーション16の配置である。図13において、シャトル(シャトル18に対してシャトル152)は、焼成ステーションおよび冷却ステーション間の中央位置に移動されている。このような配列は、焼成および冷却ステーション間の熱クロストークをさらに低下させるという利点を提供し、また、シャトル18は冷却プレートを「飛び越して」ウェーハを焼成プレート20に送出する必要がないため、粒子シールド32が冷却プレート30に位置決めされる必要性を緩和する。図13の配列と比較した図1の配列の利点は、シャトルが集積熱ユニットに譲渡されたウェーハを受け取る位置にある場合に、焼成プレート20からシャトル18を分離することである。
[0069]また、図13のシャトル152は、ハウジング40の長さに沿ったX軸(水平経路)に沿って線形に移動するように動作可能に構成されているが、垂直に移動可能であるようには構成されていない。この違いは、シャトル152とステーション間でウェーハを適切に交換するために、焼成、冷却およびシャトルステーションの各々で移動可能なリフトピンを必要とする。
[0070]図14は、本発明の実施形態が使用可能なトラックリソグラフィツール200の一実施形態の平面図である。図14に示されているように、トラックリソグラフィ200は、(ファクトリインタフェースと称されることもある)フロントエンドモジュール210と、中央モジュール212と、(スキャナインタフェースと称されることもある)後部モジュール214とを含有している。フロントエンドモジュール210は概して1つ以上のポッドアセンブリつまりFOUP(例えば、アイテム216A乃至D)と、フロントエンドロボット218と、フロントエンド処理ラック220A、220Bとを含有している。1つ以上のポッドアセンブリ216A乃至Dは概して、トラックリソグラフィツール200で処理される1つ以上の基板「W」つまりウェーハを含有することもある1つ以上のカセット230を受容するように適合されている。
[0071]中央モジュール212は概して、第1の中央処理ラック222Aと、第2の中央処理ラック222Bと、中央ロボット224とを含有している。後部モジュール214は概して第1および第2の後部処理ラック226A、226Bと、バックエンドロボット228とを含有している。フロントエンドロボット218は、フロントエンド処理ラック220A、220Bにおける処理モジュールにアクセスするように適合されており、中央ロボット224は、フロントエンド処理ラック220A、220B、第1の中央処理ラック222A、第2の中央処理ラック222Bおよび/または後部処理ラック226A、226Bにおける処理モジュールにアクセスするように適合されており、バックエンドロボット228は、後部処理ラック226A、226Bにおける処理モジュールにアクセスし、場合によってはステッパ/スキャナ5と基板を交換するように適合されている。
[0072]San Jose,CAのCanon USA,Inc.、Belmont,CAのNikon Precision Inc.あるいはTempe ArizonaのASML US,Inc.から購入可能なステッパ/スキャナ5は、例えば集積回路(IC)の製造で使用されるリソグラフィック投影装置である。スキャナ/ステッパツール5は、クラスタツールの基板に堆積された感光性材料(レジスト)を何らかの形態の電磁放射に暴露して、基板表面に形成される集積回路(IC)の個々の層に対応する回路パターンを生成する。
[0073]処理ラック220A、220B、222A、222Bおよび226A、226Bの各々は、垂直積層配列の複数の処理モジュールを含有している。つまり、処理ラックの各々は複数の積層集積熱ユニット10、複数の積層塗布器モジュール232、共有ディスペンス付きの複数の積層塗布器/現像器モジュール234、あるいはトラックリソグラフィツールに必要な種々の処理ステップを実行するようになっている他のモジュールを含有してもよい。例として、塗布器モジュール232は底部反射防止コーティング(BARC)を堆積してもよく、塗布器/現像器モジュール234はフォトレジスト層を堆積および/または現像するために使用されてもよく、集積熱ユニット10は、BARCおよび/またはフォトレジスト層の硬化と関連した焼成および冷却動作を実行してもよい。
[0074]一実施形態では、システムコントローラ240が、クラスタツール200で実行されるコンポーネントおよびプロセスのすべてをコントロールするために使用される。コントローラ240は概して、ステッパ/スキャナ5と通信し、クラスタツール200で実行されるプロセスの態様を監視およびコントロールするように適合されており、また完全な基板処理シーケンスの全態様をコントロールするように適合されている。一部の例では、コントローラ240は、集積熱ユニット10のホットプレート20および冷却プレート30をコントロールするコントローラ46A乃至46Dなどの他のコントローラと関連して、特定の態様の処理シーケンスをコントロールするように動作する。通常はマイクロプロセッサベースコントローラであるコントローラ240は、ユーザおよび/または処理チャンバのうちの1つにおける種々のセンサからの入力を受信し、コントローラのメモリに保有されている種々の入力およびソフトウェア命令に従って処理チャンバコンポーネントを適切にコントロールするように構成されている。コントローラ240は概して、種々のプログラムを保有し、プログラムを処理し、かつ必要な場合にはプログラムを実行するためにコントローラによって利用されるメモリおよびCPU(図示せず)を含有している。メモリ(図示せず)はCPUに接続されており、これはまた、ランダムアクセスメモリ(RAM)、リードオンリーメモリ(ROM)、フロッピーディスク、ハードディスク、あるいは他のローカルまたはリモートのディジタル記憶装置などの容易に入手可能なメモリのうちの1つ以上であってもよい。ソフトウェア命令およびデータは、CPUに命令するためにメモリ内にコード化および記憶可能である。サポート回路(図示せず)はまた、従来の方法でプロセッサをサポートするためにCPUに接続されている。サポート回路は、当業界で既知のキャッシュ、電源、クロック回路、入力/出力回路、サブシステムなどを含んでもよい。コントローラ240で読み取り可能なプログラム(またはコンピュータ命令)は、いずれのタスクが(複数の)処理チャンバで実行可能であるかを判断する。好ましくは、プログラムはコントローラ240で読み取り可能なソフトウェアであり、また規定のルールおよび入力データに基づいてプロセスを監視およびコントロールする命令を含んでいる。
[0075]本発明の実施形態は、図14に示されるようなトラックリソグラフィツールとの併用に制限されないことが理解されるべきである。むしろ、本発明の実施形態は、参照して全体がここに組み込まれている2005年4月22日に出願された、“Cluster Tool Architecture for Processing a Substrate”と題された米国出願第11/112,281号(代理人事件整理番号AMAT/9540)に説明されている多数の異なるツール構成と、この11,112,281号出願には説明されていない構成とを含む任意のトラックリソグラフィツールで使用されてもよい。
[0076]図15は、トラックリソグラフィツール200内で処理される半導体基板の例示的処理シーケンスを示すフローチャートである。当業者は、図15を参照して後述される種々のプロセスステップが、用いられる本発明の方法の多数の異なる可能性を表していることを認識するであろう。当業者はまた、本発明の方法の種々の実施形態は図15に説明された具体的な処理シーケンスに制限されず、また具体的なプロセスレシピに従った複数の基板の熱処理に対して(かつ特定の相補的(complimentary)焼成および冷却ステップにおいて)高度のコントロールを示すことが望ましい任意のプロセスステップシーケンスや任意の用途で使用可能である点を認識するであろう。
[0077]図15は、基板表面に形成されたフォトレジスト材料層を堆積、暴露および現像するために使用可能な一連の方法ステップ300の一実施形態を示している。リソグラフィックプロセスは概して以下のものを含んでもよい:コーティングモジュールへの基板移送ステップ310と、底部反射防止コーティング(BARC)塗布ステップ312と、BARC後焼成ステップ314と、BARC後冷却ステップ316と、フォトレジスト塗布ステップ318と、フォトレジスト後焼成ステップ320と、フォトレジスト後冷却ステップ322と、光学的エッジビード除去(OEBR)ステップ324と、暴露ステップ326と、暴露後焼成(PEB)ステップ328と、暴露後焼成冷却ステップ330と、現像ステップ332と、基板洗浄ステップ334と、現像後冷却ステップ336とポッドへの基板移送ステップ338。他の実施形態では、方法ステップ300のシーケンスは再配列、変更されてもよく、1つ以上のステップが除去されてもよく、追加されたさらなるステップや2つ以上のステップが、本発明の基本概念から変更することなく単一ステップに結合されてもよい。
[0078]ステップ310において、半導体基板がコーティングモジュールに移送される。図14を参照すると、基板をコーティングモジュールに移送するステップ310は概して、フロントエンドロボット218にポッドアセンブリ216のうちの1つにあるカセット230から基板を除去させるプロセスとして定義される。1つ以上の基板「W」を含有するカセット230はユーザまたは何らかの外部デバイス(図示せず)によってポッドアセンブリ216に置かれるため、基板は、システムコントローラ240に保有されているソフトウェアによってコントロールされるユーザ定義基板処理シーケンスによってクラスタツール200において処理可能である。
[0079]BARCコーティングステップ310は、基板の表面に有機材料を堆積するために使用されるステップである。BARC層は通常、ステッパ/スキャナ5で実行される暴露ステップ326中に基板の表面からレジストに反射される光を吸収するためにフォトレジスト層の前に基板に塗布される有機コーティングである。これらの反射が防止されなければ、定在波がレジスト層に確立されることになり、これによって部材サイズは、レジスト層の局所的厚さに応じて場所によって変化する。BARC層はまた、基板表面形態を平らにする(つまり平坦化する)ために使用されてもよく、これは概して複数の電子デバイス製作ステップ完了後に存在する。BARC材料は、部材の周辺およびこの上を充填して、フォトレジスト用途に対してより平らな表面を作成し、またレジスト厚の局所的変化を削減する。
[0080]BARCコーティングステップ310は通常、基板が回転中に多量のBARC材料が基板の表面に堆積される従来のスピンオンレジストディスペンスプロセスを使用して実行され、これはBARC材料の溶剤を気化させることによって、堆積されたBARC材料の材料特性を変化させる。BARC処理チャンバの空気流および排出流量はしばしば、溶剤気化プロセスと、基板表面に形成された層の特性とをコントロールするためにコントロールされる。
[0081]BARC後焼成ステップ314は、溶剤のすべてがBARCコーティングステップ312で堆積されたBARC層から除去されて、場合によっては基板の表面へのBARC層の接着を促進することを保証するために使用されるステップである。BARC後焼成ステップ314の温度は、基板の表面に堆積されたBARC材料のタイプに左右されるが、概して約250℃未満であろう。BARC後焼成ステップ314を完了させるのに必要な時間はBARC後焼成ステップ中の基板の温度に左右されるが、概して約60秒未満である。
[0082]BARC後冷却ステップ316は、各基板が同一の時間温度プロファイルを満たすことによって(sees)プロセス変動性が最小化されるように、基板が環境温度より高い時間が一貫しているようにコントロールし、かつこれを保証するために使用されるステップである。基板ウェーハ履歴の一要素であるBARCプロセス時間温度プロファイルの変化は堆積された膜層の特性に影響を与える可能性があるため、しばしばプロセス変動性を最小化するためにコントロールされる。BARC後冷却ステップ316は通常、環境温度またはこの付近の温度に、BARC後焼成ステップ314後の基板を冷却するために使用される。BARC後冷却ステップ316を完了させるのに必要な時間はBARC後焼成ステップを終了する基板の温度に左右されるが、概して約30秒未満である。
[0083]フォトレジストコーティングステップ318は、基板の表面にフォトレジスト層を堆積するために使用されるステップである。フォトレジストコーティングステップ318中に堆積されたフォトレジスト層は通常、基板に塗布される感光性有機コーティングであり、これはまた基板の表面にパターン化部材を形成するためにステッパ/スキャナ5で後に暴露される。フォトレジストコーティングステップ318は通常、基板が回転中に多量のフォトレジスト材料が基板の表面に堆積される従来のスピンオンレジストディスペンスプロセスを使用して実行され、これによってフォトレジスト材料の溶剤を気化させることによって、堆積されたフォトレジスト層の材料特性を変化させる。フォトレジスト処理チャンバの空気流および排出流量は、溶剤気化プロセスと、基板表面に形成された層の特性とをコントロールするためにコントロールされる。場合によっては、排出流量をコントロールすることによって、かつ/または基板表面付近に溶剤を噴射することによって、基板表面の溶剤の分圧をコントロールして、フォトレジストコーティングステップ中にレジストからの溶剤の気化をコントロールする必要がある。図14を参照すると、例示的なフォトレジストコーティングプロセスにおいて、基板はまず、塗布器/現像器モジュール234のウェーハチャックに位置決めされる。モータはウェーハチャックおよび基板を回転させるのに対して、フォトレジストは基板の中央に分配される。回転は環状トルクをフォトレジストに付与し、これはフォトレジスト放射方向に強いて最終的に基板をカバーする。
[0084]フォトレジスト焼成ステップ320は、溶剤のすべてがフォトレジストコーティングステップ318で堆積されたフォトレジスト層から除去されて、場合によってはBARC層へのフォトレジスト層の接着を促進することを保証するために使用されるステップである。フォトレジスト後焼成ステップ320の温度は基板の表面に堆積されたフォトレジスト材料のタイプに左右されるが、概して約350℃未満である。フォトレジスト後焼成ステップ320を完了させるのに必要な時間はフォトレジスト後焼成ステップ中の基板の温度に左右されるが、概して約60秒未満である。
[0085]フォトレジスト後冷却ステップ322は、各基板が同一の時間温度プロファイルを満たすことによってプロセス変動性が最小化されるように基板が環境温度より高い温度にある時間をコントロールするために使用されるステップである。時間温度プロファイルの変化は、堆積された膜層の特性に影響を与える可能性があるため、しばしば、プロセス変動性を最小化するようにコントロールされる。フォトレジスト後冷却ステップ322の温度は従って、フォトレジスト後焼成ステップ320後の基板を環境温度またはこの付近の温度に冷却するために使用される。フォトレジスト後冷却ステップ322を完了するのに必要な時間はフォトレジスト後焼成ステップを終了する基板の温度に左右されるが、概して約30秒未満である。
[0086]光学的エッジビード除去(OEBR)ステップ324は、一方または両方の層が基板のエッジから除去され、かつ堆積層のエッジ排除がより均一にコントロール可能になるようにフォトレジストコーティングステップ318中に形成された層およびBARCコーティングステップ312中に形成されたBARC層などの(複数の)堆積された感光性フォトレジスト層を放射源(図示せず)に暴露するために使用されるプロセスである。基板の表面を暴露するために使用される放射の波長および強度は基板の表面に堆積されたBARCおよびフォトレジスト層のタイプに左右される。OEBRツールは、例えばUSHIO America,Inc.Cypress,CAから購入可能である。
[0087]暴露ステップ326は、集積回路(IC)を製造するために使用されるパターンを形成するためにリソグラフィック投影装置(例えば、ステッパスキャナ5)によって適用されるリソグラフィック投影ステップである。暴露ステップ326は、フォトレジストコーティングステップ318中に形成されたフォトレジスト層およびBARCコーティングステップ312中に形成されたBARC層などの感光性材料を何らかの形態の電磁放射に暴露することによって、基板表面上に集積回路(IC)デバイスの個々の層に対応する回路パターンを形成する。
[0088]暴露後焼成(PEB)ステップ328は、(複数の)光活性化合物の拡散を刺激して、レジスト層の定在波の効果を低下させるために、暴露ステップ326の直後に基板を加熱するのに使用されるステップである。化学増幅レジストについて、PEBステップはまた、レジストの溶解度を変化させる触媒化学反応をもたらす。PEB中の温度のコントロールは通常、臨界寸法(CD)コントロールにとって重要である。PEBステップ328の温度は基板の表面に堆積されたフォトレジスト材料のタイプに左右されるが、概して約250℃未満である。PEBステップ328を完了させるのに必要な時間はPEBステップ中の基板の温度に左右されるが、概して約60秒未満である。
[0089]暴露後焼成(PEB)冷却ステップ330は、各基板が同一の時間温度プロファイルを満たすことによってプロセス変動性が最小化されるように、基板が環境温度より高い温度にある時間がコントロールされることを保証するために使用されるステップである。PEBプロセス時間温度プロファイルの変化は、堆積された膜層の特性に影響する可能性があるため、しばしばプロセス変動性を最小化するためにコントロールされる。PEB冷却ステップ330の温度は従って、PEBステップ328後の基板を環境温度またはこの付近の温度に冷却するために使用される。PEB冷却ステップ330を完了させるのに必要な時間はPEBステップを終了する基板の温度に左右されるが、概して約30秒未満である。
[0090]現像ステップ332は、溶媒が、暴露または非暴露フォトレジストおよびBARC層への化学的または物理的変化を引き起こし、暴露プロセスステップ326中に形成されたパターンを暴露するために使用されるプロセスである。現像プロセスは、現像溶媒を分布するために使用されるスプレーまたは含侵あるいはパドルタイププロセスであってもよい。一部の現像プロセスにおいては、現像溶液の適用および現像プロセス中のスパン前に、基板は流体層、通常は脱イオン水でコーティングされる。続く現像溶液の適用は、基板表面への現像溶液の均一なコーティングをもたらす。ステップ334において、洗浄溶液が基板の表面に提供されて、現像プロセスを終了させる。例証として、洗浄溶液は脱イオン水であってもよい。代替実施形態では、表面活性剤と結合された脱イオン水の洗浄溶液が提供される。当業者は種々の変形、修正および代替例を認識するであろう。
[0091]ステップ336において、基板は現像および洗浄ステップ332および334後に冷却される。ステップ338において、基板はポッドに移送されることによって処理シーケンスを完了させることができる。ステップ338において基板をポッドに移送することは概して、フロントエンドロボット218にポッドアセンブリ216のうちの1つにあるカセット230に基板を返却させるプロセスを伴う。
[0092]本発明の説明に基づいて、当業者は、本発明の実施形態は、とりわけ図15に説明されていないステップであるBARC後焼成ステップ314およびBARC後冷却ステップ316中、PR後焼成ステップ320およびPR後冷却ステップ322中、暴露後焼成ステップ328および暴露後冷却ステップ330中、および現像後冷却ステップ336中に基板を加熱および/または冷却するために有益に使用可能であることを理解するであろう。当業者はまた、説明された種々の焼成および冷却シーケンスの一部が異なる焼成および/または冷却要件を有している点を理解するであろう。従って、当業者は、集積熱ユニットに組み込まれた具体的な焼成プレート20および/または冷却プレート30の機能的仕様は、焼成および/または冷却プレートがそれぞれ加熱および冷却することを意図する材料に左右される点を理解するであろう。例えば、BARC材料は低温かつ低精度の焼成プレートで適切に加熱されるのに対して(例えば、最大250℃、シングルゾーンヒータ)、フォトレジスト材料は高温かつ中精度の焼成プレート(例えば、最大350℃、3ゾーンヒータ)を必要とし、暴露後焼成プロセスは低温かつ高精度の焼成プレート(例えば、最大250℃、15ゾーンヒータ)を必要とすることがある。従って、本発明の実施形態は特定のタイプや構成の焼成プレート20や冷却プレート30に制限されない。むしろ、概して焼成プレート20および冷却プレート30の各々は、当業者によって判断可能なように焼成プレートおよび冷却プレートが使用される用途に必要な具体的な性能標準に設計されている。
[0093]本発明は具体的な実施形態およびこの具体例を参照して説明されてきたが、他の実施形態も本発明の主旨および範囲内にあることが理解されるべきである。従って本発明の範囲は、この全範囲に伴う添付の請求項を参照して判断されるべきである。
本発明に従った集積熱ユニットの一実施形態の概念図である。 図1に示された集積熱ユニットの簡略斜視図である。 ユニットの上部が除去された図2Aに示された集積熱ユニット10の概略斜視図である。 本発明の方法の一実施形態に従って実行されるイベントのシーケンスを示すブロック図である。 図2Bに示された焼成ステーション12および冷却ステーション14の断面図である。 本発明の一実施形態に従った、図2Bに示された冷却シャトル18の斜視図である。 焼成ステーション12および冷却ステーション14が除去されている図2Bに示された集積熱ユニットの一部の斜視図である。 本発明の一実施形態に従った、図2Bに示された冷却プレート30の斜視図である。 本発明の一実施形態に従った、図2Bに示された焼成プレート20の斜視図である。 図8に示された焼成プレート20の断面の斜視図である。 図8および9に示された焼成プレート20の断面図である。 図8に示された焼成ステーション12の底部斜視図である。 図11に示された係合可能なシートシンク140の簡略断面図である。 本発明に従った集積熱ユニットの代替実施形態の概念図である。 本発明の一実施形態に従ったトラックリソグラフィツールの一実施形態の平面図である。 図14に示されたトラックリソグラフィツールによって処理される半導体基板の例示的処理シーケンスを示すフローチャートである。

Claims (95)

  1. 基板を処理するための集積熱ユニットであって、
    焼成プレートの表面にサポートされた基板を加熱するように構成された焼成プレートと、
    冷却プレートの表面にサポートされた基板を冷却するように構成された冷却プレートと、
    前記焼成プレートから前記冷却プレートに基板を移送するように構成された基板移送シャトルであって、前記焼成プレートによって加熱された基板を冷却可能な温度コントロール基板保持表面を有する基板移送シャトルと、
    を備える集積熱ユニット。
  2. 基板が前記熱ユニットに移送され、かつ前記基板移送シャトルによって取り上げられることが可能なシャトルステーションをさらに備える、請求項1に記載の集積熱ユニット。
  3. 前記焼成プレート、冷却プレートおよびシャトルステーションが含有されているハウジングをさらに備える、請求項2に記載の集積熱ユニット。
  4. 前記焼成プレート、冷却プレートおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項3に記載の集積熱ユニット。
  5. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項4に記載の集積熱ユニット。
  6. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項4に記載の集積熱ユニット。
  7. 前記冷却プレート上に位置決めされた粒子シールドをさらに備えており、前記基板移送シャトルが前記ハウジング内の前記シャトルステーション、前記冷却プレートおよび前記焼成プレート間を、前記粒子シールド上の線形経路に沿って移動する、請求項6に記載の集積熱ユニット。
  8. 前記基板移送シャトルが、前記ハウジングの長さの一方の端からもう一方の端へ線形経路に沿って移動するように構成される、請求項4に記載の集積熱ユニット。
  9. 前記基板移送シャトルが基板受け取り表面の下方に複数の冷却剤チャネルを備える、請求項1に記載の集積熱ユニット。
  10. 前記基板移送シャトルが第1および第2の細長いスロットをさらに備え、前記細長いスロットが前記シャトルの厚さを横切り、前記シャトルの一方の端に開いているが、前記シャトルの全長を横切らない、請求項9に記載の集積熱ユニット。
  11. 前記基板移送シャトルが、前記温度コントロール表面のわずかに上に基板を保持するように構成された複数の小型接触エリア近接ピンをさらに備える、請求項10に記載の集積熱ユニット。
  12. 前記基板移送シャトルが、前記温度コントロール表面の基板受け取りエリア部分の周辺に配列された複数のボタンを備えており、前記複数のボタンは、前記温度コントロール表面の前記基板受け取りエリア内に基板を固定するように構成される、請求項11に記載の集積熱ユニット。
  13. 焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法であって、
    液体レジスト材料をその上に塗布している基板を前記集積熱ユニットに移送するステップと、
    前記基板を前記焼成プレート上に位置決めするステップと、
    前記基板を前記焼成プレートで加熱するステップと、
    温度コントロール表面を有するシャトルによって前記基板を前記焼成プレートから前記冷却プレートに移送するステップと、
    前記基板を前記冷却プレートで冷却するステップと、
    前記基板を前記集積熱ユニット外に移送するステップと、
    を備える方法。
  14. 基板を前記集積熱ユニットに移送する前記ステップが、前記シャトルの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程を備える、請求項13に記載の基板処理方法。
  15. 基板を前記焼成プレートに位置決めする前記ステップが、前記シャトルによって前記基板を焼成ステーションに移送する工程と、前記焼成プレートの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記焼成プレートを持ち上げて前記リフトピンから前記基板を取り上げる工程とを備える、請求項14に記載の基板処理方法。
  16. 基板を前記焼成プレートから前記冷却プレートに移送する前記ステップが、前記焼成プレートを介して延びる複数のリフトピン上に前記基板を置く工程と、前記基板を前記シャトルによって取り上げる工程と、前記冷却プレートの上部表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記リフトピンを前記冷却プレートに下げて、前記冷却プレート上に前記基板を落とす工程とを備える、請求項13に記載の基板処理方法。
  17. 基板を前記焼成プレートから前記冷却プレートに移送する前記ステップが、前記冷却プレートの上方に位置決めされた粒子シールドにシャトルを通過させてから、前記シャトルを前記粒子シールドの下方に通過させる工程をさらに備える、請求項16に記載の基板処理方法。
  18. 前記シャトルがシャトルステーションに位置決め可能であり、前記焼成プレートが焼成ステーションに位置決めされ、前記冷却プレートが冷却ステーションに位置決めされ、前記集積熱ユニットが、前記焼成ステーション、冷却ステーションおよびシャトルステーションが含有されているハウジングを備える、請求項13に記載の基板処理方法。
  19. 前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項18に記載の基板処理方法。
  20. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項19に記載の基板処理方法。
  21. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項19に記載の基板処理方法。
  22. 基板を処理するための集積熱ユニットであって、
    第1のアクセススロットおよび第2のアクセススロットを有するハウジングであって、前記第1および第2のアクセススロットの各々が、半導体基板が前記ハウジングに対して移送されるようにサイズ設定されるハウジングと、
    基板を前記第1のアクセススロットを介して移送させる開放位置と、基板が前記第1のアクセススロットを介して移送されるのをブロックする閉鎖位置との間で移動するように動作可能に構成されている第1のアクセスシャッターと、
    基板を前記第2のアクセススロットを介して移送させる開放位置と、基板が前記第2のアクセススロットを介して移送されるのをブロックする閉鎖位置との間で移動するように動作可能に構成されている第2のアクセスシャッターと、
    前記ハウジング内に位置決めされた焼成ステーションであって、ホットプレースの表面にサポートされた基板を加熱するように構成された焼成プレートを備える焼成ステーションと、
    前記ハウジング内に位置決めされた冷却ステーションであって、冷却プレートの表面にサポートされた基板を冷却するように構成された前記冷却プレートを備える冷却ステーションと、
    前記ハウジング内に位置決めされたシャトルステーションであって、基板移送シャトルに前記第1のアクセススロットを介して前記熱ユニットに移送された基板を取り上げさせるようになっているシャトルステーションであって、前記基板移送シャトルが、基板を前記焼成プレートから前記冷却プレートに移送する際に前記基板をアクティブに冷却するように構成されているシャトルステーションと、
    を備える集積熱ユニット。
  23. 前記ハウジングが略矩形エンクロージャを形成し、前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記エンクロージャの長さに沿って線形に配列される、請求項22に記載の集積熱ユニット。
  24. 前記シャトルステーションが前記焼成ステーションと前記冷却ステーションの間に位置決めされる、請求項23に記載の集積熱ユニット。
  25. 前記冷却ステーションが前記焼成ステーションと前記シャトルステーションの間に位置決めされる、請求項23に記載の集積熱ユニット。
  26. ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、
    前記1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットとを備えており、前記処理モジュールのうちの少なくとも1つが、
    焼成プレートの表面にサポートされた基板を加熱するように構成された焼成プレートと、
    冷却プレートの表面にサポートされた基板を冷却するように構成された冷却プレートと、
    前記焼成プレートから前記冷却プレートに基板を移送するように構成された基板移送シャトルであって、前記焼成プレートによって加熱された基板を冷却可能な温度コントロール基板保持表面を有する基板移送シャトルとを備える集積熱ユニットを含むトラックリソグラフィツール。
  27. 基板を処理するための集積熱ユニットであって、
    基板を保持および加熱するように構成されたホットプレートを備えるホットステーションと、
    基板を保持および冷却するように構成された冷却プレートを備える冷却ステーションと、
    前記熱ユニット内で水平線形経路に沿って前記焼成プレートから前記冷却プレートに基板を移送して、前記集積熱ユニット内で垂直経路に沿って基板を昇降させるように構成された基板移送シャトルとを備える集積熱ユニット。
  28. 基板が前記熱ユニットに移送されて、かつ前記基板移送シャトルによって取り上げられることが可能なシャトルステーションをさらに備える、請求項27に記載の集積熱ユニット。
  29. 前記焼成プレート、冷却プレートおよびシャトルステーションが含有されているハウジングをさらに備える、請求項28に記載の集積熱ユニット。
  30. 前記焼成プレート、冷却プレートおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項29に記載の集積熱ユニット。
  31. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項30に記載の集積熱ユニット。
  32. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項30に記載の集積熱ユニット。
  33. 前記冷却プレート上に位置決めされた粒子シールドをさらに備え、前記基板移送シャトルが、前記粒子シールドの上方を前記水平線形経路に沿って前記ハウジング内の前記シャトルステーション、前記冷却プレートおよび前記焼成プレート間を移動する、請求項32に記載の集積熱ユニット。
  34. 前記基板移送シャトルが、前記水平線形経路に沿って前記ハウジングの長さの一方の端からもう一方の端に移動するように構成される、請求項30に記載の集積熱ユニット。
  35. 前記基板移送シャトルが、基板を冷却可能な温度コントロール表面を備える、請求項27に記載の集積熱ユニット。
  36. 前記基板移送シャトルが、前記温度コントロール表面のアクティブ温度コントロールを可能にする複数の冷却剤チャネルを備える、請求項35に記載の集積熱ユニット。
  37. 前記基板移送シャトルが、前記温度コントロール表面の基板受け取りエリア部分の周辺に配列された複数のボタンであって、前記温度コントロール表面の前記基板受け取りエリア内に基板を固定するように構成されている複数のボタンをさらに備える、請求項36に記載の集積熱ユニット。
  38. 焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法であって、
    液体レジスト材料をその上に塗布している基板を前記集積熱ユニットに移送するステップと、
    前記基板を前記焼成プレート上に位置決めするステップと、
    前記焼成プレートによって前記基板を加熱するステップと、
    前記焼成プレートから前記冷却プレートに前記基板を移送するステップであって、前記集積熱ユニット内で、基板移送シャトルによって水平線形経路に沿っておよび垂直経路に沿って前記基板を移動させる工程を含むステップと、
    前記冷却プレートによって前記基板を冷却するステップと、
    前記基板を前記集積熱ユニット外に移送するステップとを備える方法。
  39. 基板を前記集積熱ユニットに移送する前記ステップが、(i)前記シャトルの基板受け取り表面を介して延びる、シャトルステーションに位置決めされた複数のリフトピン上に前記基板を置く工程と、(ii)前記シャトルを垂直に移動させて、前記リフトピンから前記基板を取り上げる工程とを備える、請求項38に記載の基板処理方法。
  40. 基板を前記焼成プレート上に位置決めする前記ステップが、前記シャトルを水平線形経路に沿って前記シャトルステーションから焼成ステーションに移動させることによって、前記基板を前記シャトルによって前記焼成ステーションに移送する工程を備える、請求項39に記載の基板処理方法。
  41. 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記焼成プレートを介して延びる複数のリフトピン上に前記基板を置く工程と、前記シャトルによって前記基板を取り上げる工程と、前記冷却プレートの上部表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記リフトピンを前記冷却プレートに下げて前記冷却プレート上に前記基板を落とす工程とを備える、請求項39に記載の基板処理方法。
  42. 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記冷却プレート上方に位置決めされた粒子シールドにわたって前記水平線形経路に沿って前記シャトルを移動させる工程と、垂直経路に沿って前記シャトルを低下させる工程と、前記粒子シールド下方の水平線形経路に沿って前記シャトルを移動させる工程とをさらに備える、請求項41に記載の基板処理方法。
  43. 前記シャトルがシャトルステーションに位置決め可能であり、前記焼成プレートが焼成ステーションに位置決めされ、前記冷却プレートが冷却ステーションに位置決めされ、前記集積熱ユニットが、前記焼成ステーション、冷却ステーションおよびシャトルステーションが含有されているハウジングを備える、請求項38に記載の基板処理方法。
  44. 前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項43に記載の基板処理方法。
  45. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項44に記載の基板処理方法。
  46. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項44に記載の基板処理方法。
  47. 基板を処理するための集積熱ユニットであって、
    第1のアクセススロットおよび第2のアクセススロットを有するハウジングであって、前記第1および第2のアクセススロットの各々が、半導体基板が前記ハウジングに対して移送されるようにサイズ設定されるハウジングと、
    基板を前記第1のアクセススロットを介して移送させる開放位置と、基板が前記第1のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第1のアクセスシャッターと、
    基板を前記第2のアクセススロットを介して移送させる開放位置と、基板が前記第2のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第2のアクセスシャッターと、
    前記ハウジング内に位置決めされた焼成ステーションであって、ホットプレースの表面にサポートされた基板を加熱するように構成された焼成プレートを備える焼成ステーションと、
    前記ハウジング内に位置決めされた冷却ステーションであって、冷却プレートの表面にサポートされた基板を冷却するように構成された前記冷却プレートを備える冷却ステーションと、
    前記ハウジング内に位置決めされたシャトルステーションであって、基板移送シャトルに、前記第1のアクセススロットを介して前記熱ユニットに移送された基板を取り上げさせるようになっているシャトルステーションであって、前記基板移送シャトルが、前記ハウジング内で水平線形経路に沿って前記焼成プレートから前記冷却プレートに基板を移送し、かつ前記ハウジング内で垂直経路に沿って基板を昇降させるように構成されているシャトルステーションとを備える集積熱ユニット。
  48. 前記ハウジングが略矩形エンクロージャを形成し、前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記エンクロージャの長さに沿って線形に配列される、請求項47に記載の集積熱ユニット。
  49. 前記シャトルステーションが前記焼成ステーションと前記冷却ステーションの間に位置決めされる、請求項48に記載の集積熱ユニット。
  50. 前記冷却ステーションが前記焼成ステーションと前記シャトルステーションの間に位置決めされる、請求項48に記載の集積熱ユニット。
  51. ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、
    前記1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットとを備えており、前記処理モジュールのうちの少なくとも1つが、
    基板を保持および加熱するように構成されたホットプレートを備えるホットステーションと、
    基板を保持および冷却するように構成された冷却プレートを備える冷却ステーションと、
    前記熱ユニット内で水平線形経路に沿って前記焼成プレートから前記冷却プレートに基板を移送して、前記集積熱ユニット内で垂直経路に沿って基板を昇降させるように構成された基板移送シャトルとを備える集積熱ユニットを含むトラックリソグラフィツール。
  52. 基板を処理するための集積熱ユニットであって、
    焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、
    冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートとを備えており、
    前記焼成プレートが前記焼成位置にある場合に前記焼成プレートの前記基板保持表面が第1の略水平平面に位置決めされ、前記冷却プレートが冷却位置にある場合に前記冷却プレートの前記基板保持表面が、前記第1の平面の下の第2の略水平平面に位置決めされる集積熱ユニット。
  53. 前記焼成プレートおよび冷却プレートが、前記集積熱ユニット内で相互に隣接して水平に位置決めされる、請求項52に記載の集積熱ユニット。
  54. 基板が前記熱ユニットに移送されて、かつ前記基板移送シャトルによって取り上げられることが可能なシャトルステーションをさらに備える、請求項53に記載の集積熱ユニット。
  55. 前記焼成プレート、冷却プレートおよびシャトルステーション含有されているハウジングをさらに備える、請求項54に記載の集積熱ユニット。
  56. 前記焼成プレート、冷却プレートおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項55に記載の集積熱ユニット。
  57. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項56に記載の集積熱ユニット。
  58. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項56に記載の集積熱ユニット。
  59. 前記第1の平面が前記第2の平面から少なくとも4cm上方にある、請求項52に記載の集積熱ユニット。
  60. 前記焼成プレートが基板受け取り位置にあり、かつ第3の平面が前記第2の平面の上方にある場合に、前記焼成プレートの前記基板保持表面が第3の略水平平面に位置決めされる、請求項59に記載の集積熱ユニット。
  61. 前記第3の平面が前記第1の平面の下方にあり、かつ前記第2の平面から少なくとも2cm上方にある、請求項60に記載の集積熱ユニット。
  62. 前記冷却プレート上に位置決めされた粒子シールドをさらに備える、請求項60に記載の集積熱ユニット。
  63. 前記粒子シールドの上部表面がほぼ前記第3の平面にある、請求項62に記載の集積熱ユニット。
  64. 前記基板移送シャトルが、前記第1および第2の平面間にある線形経路に沿って前記ハウジングの長さの一方の端からもう一方の端に移動するように構成される、請求項60に記載の集積熱ユニット。
  65. 前記線形経路が前記第1および第3の平面間にある、請求項64に記載の集積熱ユニット。
  66. 前記基板移送シャトルが、基板受け取り表面の下方に複数の冷却剤チャネルを備える、請求項52に記載の集積熱ユニット。
  67. 焼成プレートおよび冷却プレートを有する集積熱ユニットにおいて基板を処理するための方法であって、
    液体レジスト材料をその上に塗布している基板を前記集積熱ユニットに移送するステップと、
    前記焼成プレート上に前記基板を位置決めするステップと、
    前記焼成プレートによって前記基板を加熱するステップと、
    温度コントロール表面を有するシャトルによって前記焼成プレートから前記冷却プレートに前記基板を移送するステップと、
    前記冷却プレートによって前記基板を冷却するステップと、
    前記集積熱ユニット外に前記基板を移送するステップとを備える方法。
  68. 前記集積熱ユニットに基板を移送する前記ステップが、前記シャトルの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程を備える、請求項64に記載の基板処理方法。
  69. 前記焼成プレート上に基板を位置決めする前記ステップが、前記シャトルによって焼成ステーションに前記基板を移送する工程と、前記焼成プレートの基板受け取り表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記焼成プレートを持ち上げて、前記リフトピンから前記基板を取り上げる工程とを備える、請求項65に記載の基板処理方法。
  70. 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記焼成プレートを介して延びる複数のリフトピン上に前記基板を置く工程と、前記シャトルによって前記基板を取り上げる工程と、前記冷却プレートの上部表面を介して延びる複数のリフトピン上に前記基板を置く工程と、前記リフトピンを前記冷却プレートに低下させて前記基板を前記冷却プレート上に落とす工程とを備える、請求項64に記載の基板処理方法。
  71. 前記焼成プレートから前記冷却プレートに基板を移送する前記ステップが、前記冷却プレートの上方に位置決めされた粒子シールドにわたって前記シャトルを通過させてから、前記粒子シールドの下方に前記シャトルを通過させる工程をさらに備える、請求項67に記載の基板処理方法。
  72. 前記シャトルがシャトルステーションに位置決め可能であり、前記焼成プレートが焼成ステーションに位置決めされ、前記冷却プレートが冷却ステーションに位置決めされ、前記集積熱ユニットが、前記焼成ステーション、冷却ステーションおよびシャトルステーションが含有されているハウジングを備える、請求項64に記載の基板処理方法。
  73. 前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記ハウジングの長さに沿って線形に配列される、請求項69に記載の基板処理方法。
  74. 前記シャトルステーションが前記焼成プレートと前記冷却プレートの間に位置決めされる、請求項70に記載の基板処理方法。
  75. 前記冷却プレートが前記焼成プレートと前記シャトルステーションの間に位置決めされる、請求項70に基板処理方法。
  76. 基板を処理するための集積熱ユニットであって、
    第1のアクセススロットおよび第2のアクセススロットを有するハウジングであって、前記第1および第2のアクセススロットの各々が、半導体基板が前記ハウジングに対して移送されるようにサイズ設定されているハウジングと、
    基板を前記第1のアクセススロットを介して移送させる開放位置と、基板が前記第1のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第1のアクセスシャッターと、
    基板を前記第2のアクセススロットを介して移送させる開放位置と、基板が前記第2のアクセススロットを介して移送されるのをブロックする閉鎖位置との間を移動するように動作可能に構成された第2のアクセスシャッターと、
    前記ハウジング内に位置決めされた焼成ステーションであって、ホットプレースの表面にサポートされた基板を加熱するように構成された焼成プレートを備える焼成ステーションと、
    前記ハウジング内に位置決めされた冷却ステーションであって、冷却プレートの表面にサポートされた基板を冷却するように構成された前記冷却プレートを備える冷却ステーションと、
    前記ハウジング内に位置決めされたシャトルステーションであって、基板移送シャトルに、前記第1のアクセススロットを介して前記熱ユニットに移送された基板を取り上げさせるようになっているシャトルステーションであって、前記基板移送シャトルが、前記焼成プレートから前記冷却プレートに基板を移送する際に前記基板をアクティブに冷却するように構成されたシャトルステーションと、
    を備える集積熱ユニット。
  77. 前記ハウジングが略矩形エンクロージャを形成し、前記焼成ステーション、冷却ステーションおよびシャトルステーションが前記エンクロージャの長さに沿って線形に配列される、請求項76に記載の集積熱ユニット。
  78. 前記シャトルステーションが前記焼成ステーションと前記冷却ステーションの間に位置決めされる、請求項77に記載の集積熱ユニット。
  79. 前記冷却ステーションが前記焼成ステーションと前記シャトルステーションの間に位置決めされる、請求項77に記載の集積熱ユニット。
  80. ウェーハの1つ以上のカセットを受容するようになっている複数のポッドアセンブリと、
    前記1つ以上のポッドアセンブリからトラックリソグラフィツール内の処理モジュールにウェーハを移送するようになっている1つ以上のロボットとを備えており、前記処理モジュールのうちの少なくとも1つが、
    焼成位置で基板を保持および加熱するように構成された基板保持表面を有する焼成プレートと、
    冷却位置で基板を保持および冷却するように構成された基板保持表面を有する冷却プレートとを備える集積熱ユニットを含んでおり、
    前記焼成プレートが前記焼成位置にある場合に前記焼成プレートの前記基板保持表面が第1の略水平平面に位置決めされ、前記冷却プレートが冷却位置にある場合に前記冷却プレートの前記基板保持表面が、前記第1の平面の下方にある第2の略水平平面に位置決めされるトラックリソグラフィツール。
  81. 基板を加熱するように構成された焼成ステーションであって、
    焼成プレートの上部表面にサポートされた基板を加熱するようになっている焼成プレートであって、上部焼成位置と下部冷却位置間で垂直に移動可能な焼成プレートと、
    前記焼成プレートが前記下部冷却位置にある場合に前記焼成プレートの下部表面に係合可能に結合されるようになっている複数のヒートシンクとを備える焼成ステーション。
  82. 前記焼成プレートが、前記上部および下部表面間に延びる外縁表面をさらに備え、前記焼成ステーションが、前記焼成プレートの前記下部表面の下方にありこれと対向した第1の表面と、前記下部表面から上方に延びて前記焼成プレートの外縁表面を囲む側部表面とを有する下部カップをさらに備えており、前記下部カップが複数のホールをその中に形成しており、前記複数のヒートシンクに前記下部カップを介して延びて記焼成プレートの前記下部表面に接触させる、請求項81に記載の焼成ステーション。
  83. 前記下部カップの前記側部表面が前記焼成プレートの前記上部表面の上方に延びる、請求項82に記載の焼成ステーション。
  84. 前記焼成位置と前記冷却位置の間で前記焼成プレートを移動させるようになっているリフトをさらに備える、請求項81に記載の焼成ステーション。
  85. 前記複数のヒートシンクに対応する複数の開口を有するベースプレートをさらに備えており、前記焼成プレートが、前記焼成位置と前記冷却位置の間の前記ベースプレートに対して移動可能である、請求項84に記載の焼成ステーション。
  86. 前記ベースプレートの前記複数の開口が複数の保有キャビティに対応しており、各ヒートシンクが、前記ヒートシンクが、前記焼成プレートの方向に前記ベースプレートの前記開口を介して押圧されるのを防止するベース部分を含む、請求項85に記載の焼成ステーション。
  87. 前記焼成ステーションが複数のばねをさらに備えており、各保有キャビティが、前記焼成プレートの前記下部表面に向けてそれぞれのヒートシンクを付勢するばねを含む、請求項86に記載の焼成ステーション。
  88. 各ヒートシンクが円筒形本体を備える、請求項81に記載の焼成ステーション。
  89. 各ヒートシンクが、前記焼成プレートの前記下部表面に対向する端と反対の前記円筒形本体の一方の端にベース部分をさらに備える、請求項88に記載の焼成ステーション。
  90. 前記焼成プレートが、前記焼成プレートの前記上部および下部表面間を横切る第1の複数のホールを備えており、前記焼成ステーションが、前記第1の複数のホールに対応する複数のリフトピンをさらに備えており、前記リフトピンが前記焼成プレートの上方にウェーハを保持するように適合されている、請求項81に記載の焼成ステーション。
  91. 前記上部焼成位置と前記下部ウェーハ受け取り位置間で前記焼成プレートを昇降させるように動作可能に結合されたリフトをさらに備えており、前記焼成プレートが前記ウェーハ受け取り位置に位置決めされる場合に前記リフトピンが前記焼成プレートの前記上部表面を介して延び、前記焼成プレートが前記焼成位置に上昇する場合に前記リフトピンが前記焼成プレートの前記上部表面の下方に後退する、請求項90に記載の焼成ステーション。
  92. 基板を加熱するように構成された焼成ステーションであって、
    第1の加熱要素を含む上部エンクロージャと、
    焼成プレートの上部表面にサポートされた基板を加熱するようになっている焼成プレートであって、上部焼成位置と下部冷却位置間で垂直に移動可能な焼成プレートであって、前記焼成プレートが前記焼成位置にある場合に、前記焼成プレートが前記上部エンクロージャの加熱要素から少し間隔をあけられている焼成プレートと、
    前記焼成プレートが前記下部冷却位置にある場合に前記焼成プレートの下部表面に係合可能に結合されるようになっている複数の金属ヒートシンクと、
    を備える焼成ステーション。
  93. 前記上部エンクロージャが、上部と、前記焼成プレートを囲み、かつこれに向かって前記上部から下方に延びる側部とを有する、請求項92に記載の焼成ステーション。
  94. 前記第1の加熱要素が前記上部エンクロージャの前記上部内にあり、前記上部エンクロージャが前記側部内に第2の加熱要素をさらに含む、請求項92に記載の焼成ステーション。
  95. 焼成プレートのセットポイント温度を急速に低下させるための方法であって、
    前記焼成プレートを使用して、前記焼成プレートが焼成位置にある場合に前記焼成プレートの上部表面に配置された基板を加熱した後、前記焼成プレートの下部表面が、前記焼成プレートの前記下部表面に係合可能に結合されるようになっている複数のヒートシンクに接触する下部位置に前記焼成プレートを垂直に移動させるステップを備える方法。
JP2007548478A 2004-12-22 2005-12-21 集積熱ユニット Pending JP2008526030A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US67401805P 2005-04-21 2005-04-21
US11/174,988 US7282675B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with a temperature controlled surface
US11/174,781 US7297906B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with two-axis movement
US11/174,782 US7288746B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US11/174,681 US7274005B2 (en) 2004-12-22 2005-07-05 Bake plate having engageable thermal mass
PCT/US2005/046637 WO2006069256A2 (en) 2004-12-22 2005-12-21 Integrated thermal unit

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2007217582A Division JP2008141163A (ja) 2004-12-22 2007-08-23 集積熱ユニット
JP2007217581A Division JP2008135702A (ja) 2004-12-22 2007-08-23 集積熱ユニット

Publications (1)

Publication Number Publication Date
JP2008526030A true JP2008526030A (ja) 2008-07-17

Family

ID=36602334

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007548478A Pending JP2008526030A (ja) 2004-12-22 2005-12-21 集積熱ユニット
JP2007217581A Pending JP2008135702A (ja) 2004-12-22 2007-08-23 集積熱ユニット
JP2007217582A Pending JP2008141163A (ja) 2004-12-22 2007-08-23 集積熱ユニット

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2007217581A Pending JP2008135702A (ja) 2004-12-22 2007-08-23 集積熱ユニット
JP2007217582A Pending JP2008141163A (ja) 2004-12-22 2007-08-23 集積熱ユニット

Country Status (3)

Country Link
JP (3) JP2008526030A (ja)
KR (3) KR20070087682A (ja)
WO (1) WO2006069256A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009044131A (ja) * 2007-06-06 2009-02-26 Asml Netherlands Bv 一体型露光後ベークトラック

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
JP5220505B2 (ja) * 2008-07-28 2013-06-26 株式会社Sokudo 熱処理装置および基板処理装置
JP5220517B2 (ja) * 2008-08-27 2013-06-26 株式会社Sokudo 基板処理装置
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
JP2012253171A (ja) * 2011-06-02 2012-12-20 Toppan Printing Co Ltd プリベーク装置およびプリベーク方法
TWI424541B (zh) * 2011-07-15 2014-01-21 Sokudo Co Ltd 溫度變更系統
NL2009533A (en) * 2011-10-27 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN102645698B (zh) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 导光板网点、导光板制作方法及背光模组、显示装置
JP6964005B2 (ja) * 2018-01-09 2021-11-10 東京エレクトロン株式会社 熱処理装置、熱板の冷却方法及びコンピュータ読み取り可能な記録媒体
JP7403234B2 (ja) * 2019-04-25 2023-12-22 東京エレクトロン株式会社 基板処理装置、及び基板処理方法
US20230161260A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Chamber and methods of cooling a substrate after baking

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
JP3445757B2 (ja) * 1999-05-06 2003-09-08 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
JP3556882B2 (ja) * 2000-05-10 2004-08-25 東京エレクトロン株式会社 塗布現像処理システム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009044131A (ja) * 2007-06-06 2009-02-26 Asml Netherlands Bv 一体型露光後ベークトラック
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track

Also Published As

Publication number Publication date
WO2006069256A2 (en) 2006-06-29
WO2006069256A3 (en) 2009-04-09
KR101068328B1 (ko) 2011-09-28
KR20070087682A (ko) 2007-08-28
KR20070092332A (ko) 2007-09-12
JP2008141163A (ja) 2008-06-19
KR20070092331A (ko) 2007-09-12
KR101071004B1 (ko) 2011-10-06
JP2008135702A (ja) 2008-06-12

Similar Documents

Publication Publication Date Title
KR101071004B1 (ko) 통합 열 장치
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US7282675B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US20070251456A1 (en) Composite heater and chill plate
CN101443131B (zh) 集成热单元
US7274005B2 (en) Bake plate having engageable thermal mass
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US7534627B2 (en) Methods and systems for controlling critical dimensions in track lithography tools
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
TWI501338B (zh) A heat treatment method and a recording medium and a heat treatment apparatus for recording a program for carrying out the heat treatment method
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
KR101614969B1 (ko) 열처리 방법 및 그 열처리 방법을 실행시키기 위한 프로그램을 기록한 기록 매체 및 열처리 장치
US8697187B2 (en) Coating treatment method and coating treatment apparatus
KR101207172B1 (ko) 기판 처리 방법, 컴퓨터 판독 가능한 기록 매체, 및 기판 처리 시스템
US20070295276A1 (en) Bake plate having engageable thermal mass
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
TWI493649B (zh) 具有垂直配置方式之烤盤與冷卻盤的整合式熱單元
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US20080145191A1 (en) Actively chilled substrate transport module
JP4148387B2 (ja) 熱処理装置
US20070251939A1 (en) Control scheme for cold wafer compensation on a lithography track
US20070071891A1 (en) Cooling unit and method for cooling and coating wafer by using the same
US20080236787A1 (en) Method to cool bake plates in a track lithography tool
US20080032491A1 (en) Wafer backside particle removal for track tools
KR102156897B1 (ko) 기판처리장치 및 방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080428