JP2008124408A - 薄膜半導体装置の製造方法 - Google Patents

薄膜半導体装置の製造方法 Download PDF

Info

Publication number
JP2008124408A
JP2008124408A JP2006309829A JP2006309829A JP2008124408A JP 2008124408 A JP2008124408 A JP 2008124408A JP 2006309829 A JP2006309829 A JP 2006309829A JP 2006309829 A JP2006309829 A JP 2006309829A JP 2008124408 A JP2008124408 A JP 2008124408A
Authority
JP
Japan
Prior art keywords
thin film
gas
silicon thin
film
microcrystalline silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006309829A
Other languages
English (en)
Inventor
Masabumi Kunii
正文 國井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2006309829A priority Critical patent/JP2008124408A/ja
Priority to TW096138717A priority patent/TW200834674A/zh
Priority to US11/976,820 priority patent/US20080119030A1/en
Priority to KR1020070113523A priority patent/KR20080044765A/ko
Priority to CNA2007101860062A priority patent/CN101183643A/zh
Publication of JP2008124408A publication Critical patent/JP2008124408A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors

Abstract

【課題】低い基板温度であっても成膜速度を維持して結晶性のシリコン薄膜を基板上に成膜可能で、これにより基板上への結晶性のシリコン薄膜の直接成膜を産業上において実用化すると共に、このシリコン薄膜を用いることで高性能化が図られた薄膜半導体装置の製造方法を提供する。
【解決手段】Sin2n+2(n=1,2,3,…)で表されるシラン系ガスと、ハロゲン化ゲルマニウムガスとを原料ガスとして用いたプラズマCVD法により、結晶構造を含むシリコン薄膜を基板上に成膜する工程を行う。ハロゲン化ゲルマニウムガスとしては、GeF2、GeF4、およびGeCl4のうちの少なくとも1つを用いる。原料ガスとしてさらにドーパントガスを用いることにより、活性化されたドーパントを含有するシリコン薄膜が成膜される。
【選択図】図2

Description

本発明は、薄膜半導体装置の製造方法に関し、特には薄膜トランジスタやこれを備えた表示装置、さらには半導体薄膜を用いた太陽電池やセンサに代表される光電変換素子等を含む薄膜半導体装置の製造方法に関する。
液晶ディスプレイや有機ELディスプレイ等のフラットパネルディスプレイには、画素電極の駆動用素子として薄膜トランジスタ(TFT:thin film transistor)が設けられている。このうち、半導体薄膜として多結晶シリコン(poly-Si)を用いたpoly-Si・TFTは、駆動回路を形成できること、高機能な回路をパネルに内蔵することによりいわゆるシステム−オン−グラス化が可能になることなどの理由から注目されている。このpoly-Si・TFTを低コストのガラス基板上へ形成することを実現するために、製造プロセスの温度を600℃以下に抑えた、いわゆる低温poly-Siプロセスの開発が行われてきた。
低温poly-Siプロセスによるpoly-Si・TFTの製造においては、従来は非晶質シリコンをプラズマCVD等の方法で低融点のガラス基板上に成膜し、これをレーザービームや電子ビームのようなエネルギービームを照射して結晶化する方法が知られている。
非晶質シリコンを結晶化させるエネルギービームとしては、例えばXeClガスを励起して得られる波長308nmのエキシマレーザーを用いることが一般的である。このレーザービームを線状ビームに整形してガラス基板上を走査することにより、ガラス基板全面を結晶化させる方法が工業的に用いられている。
しかしながら、このようなレーザーアニール法で作成する場合はレーザーアニール装置に精密な光学系や、安定なレーザー発振をさせるための大掛かりな安定化装置等が必要で、設備コストの増大を招く。またレーザービームの光学系や発振エネルギーの限界から、ビームの大きさには一定の限界があり、大面積基板上で均一な照射をすることが困難である。従って基板の大型化を考えると、レーザーアニール法は生産性の観点からは必ずしも好ましくない。さらに、レーザービーム結晶化で得られた多結晶シリコンは、レーザービームのエネルギーばらつきを反映して結晶粒径がばらつき易く、その結果TFT特性のばらつきを招くという問題点があった。
そこで、レーザーアニールを行うことなく、結晶構造を含むシリコン薄膜を、基板上に直接堆積成膜するいくつかの方法が提案されている。
例えば下記特許文献1には、シラン−フッ化シラン−フッ素ガス系を用いたプラズマCVD法による成膜が開示されている。またこの方法によって得られたシリコン薄膜は、結晶シリコンに基づくシャープなラマン分光スペクトルが観察されると記載されている。
また下記特許文献2には、成膜室に珪化物気体(例えばシラン)とフッ素またはフッ化ハロゲンとを導入したプラズマCVD法による成膜が開示されている。またこの方法により、成膜開始から柱状の結晶構造を有するセミアモルファスシリコン薄膜が形成されると記載されている。
さらに下記特許文献3には、エッチング性ガスと成膜ガスとを加熱された基板上に導入し、エッチング性ガスの存在下において加熱された基板によって成膜ガスを熱的に活性化させて熱化学反応を生じさせることにより、結晶質の半導体薄膜を直接成膜する方法、すなわち反応性熱CVD法が開示されている。
特開平6−168882 特開2005−243951 特開2001−68422
しかしながら、例えば特許文献1に開示されているシラン−フッ化シラン−フッ素ガス系のプラズマCVDでは、分解し難いフッ化シランを分解するための高い電力が必要となる。またフッ化シランの分解を補うためにフッ化シランのガス流量を増やす必要もある。
また特許文献2に開示されているシラン−フッ素のプラズマCVDでは、フッ素ガスのシリコンに対するエッチング性が高いので成膜速度が遅い。またフッ素ガスの反応性が高いため、シランガスとフッ素ガスを混合するだけでフッ化シランが生成し、これを分解するのに高いプラズマ電力が必要になる。
さらに特許文献3の反応性熱CVD法では、基板温度は成膜ガスであるジシランの分解温度である最低400℃以上、十分な成膜速度を得るためには450℃以上が必要とされている。基板温度が450℃以上になる場合は一般的なSUS鋼材製のCVDチャンバは対応できず、特殊な耐熱仕様でCVD成膜装置を設計する必要がある。また、基板温度を450℃にした場合でも、プラズマ反応を用いない反応性熱CVD法の成膜速度は8〜9nm/min.程度である。
そこで本発明は、低い基板温度であっても成膜速度を維持して結晶性のシリコン薄膜を基板上に成膜可能で、これにより基板上への結晶性のシリコン薄膜の直接成膜を産業上において実用化すると共に、このシリコン薄膜を用いることで高性能化が図られた薄膜半導体装置の製造方法を提供することを目的とする。
このような目的を達成するための本発明の薄膜半導体装置の製造方法は、半導体薄膜としてシリコン薄膜を備えた薄膜半導体装置の製造方法である。そして、このシリコン薄膜の成膜を、Sin2n+2(n=1,2,3,…)で表されるシラン系ガスと、ハロゲン化ゲルマニウムガスとを原料ガスとして用いたプラズマCVD法により行うことを特徴としている。
以上のような原料ガスを用いたプラズマCVD法によれば、次の実施形態において詳細に説明するように、結晶粒径が数nm〜100nm程度の微結晶シリコンで構成された微結晶シリコン薄膜が成膜されることが確認された。また、このプラズマ成膜方法では、低い基板温度であっても、成膜速度を維持した成膜が行われることも合わせて確認された。具体的には、一般的なガラス基板の歪点である600〜700℃以下で、例えば400℃程度の基板温度、さらにはこれよりも低い基板温度で、上述した微結晶シリコン薄膜が得られる。また、先行技術である反応性熱CVD法と比較して5倍程度の成膜速度での成膜が行われることも確認された。
以上説明したように本発明によれば、低い基板温度であっても成膜速度を維持して結晶性のシリコン薄膜を基板上に成膜可能である。したがって、基板上への結晶性のシリコン薄膜の直接成膜を産業上において実用化できると共に、このシリコン薄膜を用いることで高性能化が図られた薄膜半導体装置を得ることが可能になる。
以下、本発明の薄膜半導体装置の製造方法に関する実施形態を図面に基づいて詳細に説明する。ここでは、薄膜半導体装置の製造方法に用いる成膜装置、この成膜装置を用いた結晶性のシリコン薄膜の成膜方法、およびこの成膜方法を適用した薄膜半導体装置の製造方法の順に実施形態を説明する。
<成膜装置>
図1には、薄膜半導体装置の製造に用いる成膜装置の一例を示す全体構成図である。この図に示す成膜装置100は、平行平板型プラズマCVD装置であり、成膜処理が行われる処理室101、処理室101内において成膜処理が施される基板Wを固定保持するステージ103、ステージ103に対応配置された上部電極105、および上部電極105に接続された高周波電源107を備えている。
このうち処理室101は、接地された状態で設けられており、内部のガスを排気するための排気管101aを備えている。
ステージ103は、下部電極を兼ねたもので、処理室101と同様に接地された状態で処理室101内に配置されている。この下部電極を兼ねたステージ103と、次に説明する上部電極105とで平行平板が構成されている。またこのステージ103には、基板Wを所定温度に加熱保持するための温調手段が設けられていても良い。
上部電極105は、処理室101内に処理ガスを供給するためのシャワーへッドを兼ねたもので、ステージ101上に固定保持された基板Wの全面に対向配置される。この上部電極105には、ガス導入管105aが接続されている。ガス導入管105aにはガス混合室105bが設けられ、ガス導入管105から導入されたガスは、ガス混合室105b内において混合されてから上部電極105内に導入され、成膜の均一化に寄与する。
また、このような上部電極105は、ガスの分散板105cを内設し、さらにステージ102に対向する面がシャワープレート105dとして構成されている。分散板105cは導入された原料ガスを基板W全面に分散させ、シャワープレート105dは分散板105cで分散されたガスを均一に基板W上に供給することを目的としている。尚、図面においては、ガス導入管105aは、1系統しか図示されていないが、必要に応じて複数のガス系統が設けられていることとする。
そして、高周波電源107は、上部電極103に高周波のRF電力を印加するためのものである。
以上のような構成の成膜装置100によれば、基板Wの上方において原料ガスプラズマを発生させたプラズマCVD法による成膜を行うことが可能である。尚、本発明は、ここで示した平行平板型プラズマCVD装置を用いた成膜に限定されることはなく、プラズマCVD法による成膜が可能な装置であれば、同様に用いることができる。
<成膜方法−1>
上記成膜装置100を用いた結晶性のシリコン薄膜の成膜方法の第1例を説明する。
先ず、処理室101内のステージ103上に基板Wを固定保持させる。次に、処理室101内の圧力を13.3〜1330Pa、好ましくは133〜400Paとし、基板Wの温度を100〜600℃、好ましくは300〜450℃とする。
以上のように処理室101内の圧力および基板Wの温度を保った状態で、高周波電源107から上部電極105に周波数10〜100MHz、好ましくは10〜30MHzの高周波を印加する。これにより、電極105と下部電極(ステージ103)との間に、電界を形成する。
そして、このような条件を保って処理室101内に、ガス供給管105aから原料ガスを供給し、プラズマを発生させることにより、プラズマCVD成膜を行う。
本実施形態においては、ここで供給する原料ガスが特徴的である。
すなわち処理室101内には、原料ガスとしてシラン系ガスとハロゲン化ゲルマニウム系ガスとを供給する。シラン系ガスとは、Sin2n+2(n=1,2,3,…)で表されるガスであり、典型的にはモノシラン(SiH4)またはジシラン(Si26)またはトリシラン(Si38)が用いられる。また、ハロゲン化ゲルマニウムガスとしては、四フッ化ゲルマニウム(GeF4)や二フッ化ゲルマニウム(GeF2)のようなフッ化ゲルマニウム系ガス、さらには四塩化ゲルマニウム(GeCl4)のような塩化ゲルマニウム系ガスが用いられる。
また必要に応じて、以上の原料ガスと共に、Ar、He、Ne、Kr、Xe、N2等の不活性ガスまたは水素ガスを稀釈ガスとしてガス供給管105aから処理室101内に供給しても良い。
以上により、基板W上に、結晶構造を含むシリコン薄膜(以下、微結晶シリコン薄膜と称する)をプラズマCVD成膜する。
尚、以上説明した成膜方法においては、成膜する微結晶シリコン薄膜中への不純物の混入を抑えるために、用いるガスの純度を純度3N以上、好ましくは4Nとする。またさらに、成膜する微結晶シリコン薄膜中への酸素、炭素、窒素等の不純物元素濃度を抑えるために、上述したプラズマCVD成膜を行う前に、クリーニングガス(例えばフッ素ガスやフッ化ハロゲンガスまたはNF3ガス等)でプラズマ処理することにより処理室内をクリーニングすることが望ましい。
次に、上述したプラズマCVD法によって得られた試料1〜4の微結晶シリコン薄膜の膜質、成膜速度などの評価結果を説明する。
下記表1に示すように、原料ガスとしては、シラン系ガスとしてSi26(Si26100%、流量=10sccm)を用い、フッ化ゲルマニウム系ガスとしてGeF4(Arガス稀釈GeF410%、流量=各流量)を用いた。また、希釈ガスとしてArガス(流量=700sccm)を用いた。処理室内の圧力=270Pa、RF電力(RF電源周波数27.12MHz)=1.2kW、基板温度=400℃とした。
Figure 2008124408
尚、平行平板型プラズマCVD装置における電極間の距離は25nmであり、電極面積は2500cm2、成膜時間10分間とした。基板Wとしては、ガラス基板上にプラズマCVD法によって酸化シリコン薄膜を100nmの膜厚で成膜したものを用いた。
また、上記表1に合わせて示した比較例1,2として、処理室内の圧力、RF電力(RF電源周波数27.12MHz)、および基板温度を変化させた成膜を行った。
以上のようにして成膜した試料1〜4の微結晶シリコン薄膜について、波長514nmの参照光を用いたラマン測定を行った。図2(1)には試料1について測定されたラマンスペクトルを示し、図2(2)には試料4について測定されたラマンスペクトルを示す。
これらのラマンスペクトルに代表されるように、試料1〜4の全ての微結晶シリコン薄膜において、結晶構造を含むSiを示すSi-Si結合のTOフォノンモードである518〜520cm-1付近に鋭いピークが観察された。ピークの半値幅は9.7〜10.8cm-1であった。
ここで注目すべきは、試料1〜試料4の成膜で用いたSi26とGeF4とのプラズマ反応では、GeF4の流量にかかわらず成膜される微結晶シリコン薄膜中にGeの混入が殆ど無いことである。これは、Siに結晶性のGeが含まれる場合には、Ge-Ge結合のTOフォノンモードによるピーク(290cm-1付近)や、Si-Ge結合のTOフォノンモードによるピーク(400cm-1)が現れるが、図2(1),(2)に示される何れのラマンスペクトルにも、これらのGe結合に関するピークは現れていないことから明らかである。
また図2(1),(2)に示されるように、何れのラマンスペクトルにも500cm-1付近にわずかなピークが見られる。このピークは、結晶のサイズ効果によるラマンピークのシフトであり、結晶粒径が数nmサイズのナノ結晶シリコンによるピークとされている。
さらに、これらの何れのラマンスペクトルにおいても、非晶質シリコンが寄与する480cm-1のピークは、Si-Si結合のTOフォノンモードである518〜520cm-1付近のピークや、結晶のサイズ効果による500cm-1付近のピークに比べて小さい。このことから、試料1〜4の微結晶シリコン薄膜には、非晶質成分が極めて少ないことがわかる。
さらに、試料1〜4の微結晶シリコン薄膜の表面を走査電子顕微鏡で観察したところ、いずれの条件でも結晶粒径が20〜100nmの微結晶シリコンが成長していることが確認された。また断面TEM観察においては、柱状の結晶構造(カラム状とも呼ばれる)を持つ結晶粒が基板表面から成長していることがわかった。
以上より、実施形態の成膜方法によって、結晶粒径が数nmであるナノ結晶シリコンと結晶粒径が10〜100nmの微結晶シリコンとで構成された微結晶シリコン薄膜を成膜可能であることが確認された。
また上記表1に示したように、試料1〜試料4の微結晶シリコン薄膜の膜厚と、成膜時間(10分間)とから算出された成膜速度は39.1〜41.2nm/min.であった。これは、特許文献3に示された反応性熱CVD法(基板温度450℃)において達成される成膜速度8〜9nm/min.と比較して、約5倍の速さである。
以上説明したように、実施形態の成膜方法によれば、反応性熱CVD法と比較して、よりも低い基板温度であっても成膜速度を5倍以上に維持して結晶性のシリコン薄膜を基板上に成膜可能である。したがって、結晶性のシリコン薄膜を用いて構成される高性能な薄膜半導体装置(薄膜半導体装置)の製造において、基板上への結晶性のシリコン薄膜の直接成膜を産業上において実用化可能になり、生産性の向上に大きな効果がある。
また、以上説明した試料1〜4のシリコン薄膜の成膜方法では、基板温度を400℃としたが、処理室内(成膜雰囲気内)の圧力、RF電力、原料ガスおよび希釈ガスの流量比等を最適化することにより、基板温度が100−300℃程度のさらに低い温度でも、結晶性成分を含む微結晶シリコン薄膜を成膜させることは可能である。このように低い基板温度での成膜が可能になることから、ガス系の追加だけで既存のプラズマCVD装置を使用することができる。
しかも、レーザー結晶化装置のような複雑で高価な装置が不要となり、これによる工程削減、タクトタイムの短縮による製造コスト低減が可能となる。
また、上記表1に合わせて示した比較例1,2では、いずれもRF電力(RF電源周波数27.12MHz)を0.4kW,0.1kWと低く設定して成膜を行った。このような成膜で得られたシリコン薄膜には、結晶構造を確認することができず、非晶質のシリコン薄膜が形成されていた。このことからすれば、原料ガスとしてシラン系ガスとハロゲン化ゲルマニウム系ガスとを供給したプラズマCVD成膜において、RF電力をある程度高めに保つことにより、結晶構造を含有するシリコン薄膜が成膜されることがわかる。
また以上の効果の他にも、図2(1),(2)に示されるラマンスペクトルから、本発明の成膜方法によって得られた微結晶シリコン薄膜が、膜の内部応力が少ない膜であることがわかる。これは、一般に結晶質を含む微結晶シリコン薄膜のラマンスペクトルは、膜の内部応力に起因して本来の単結晶シリコンのラマンスペクトルのピークである520cm-1よりも低い波長側である510cm-1付近にピークが現れる。しかしながら、本発明で得られた微結晶シリコン薄膜は、ラマンスペクトルのピークが520cm-1に極めて近いことから明らかである。
したがって、膜応力に起因するキャリア移動度のばらつきが小さい微結晶シリコン薄膜とすることが可能である。この結果、この微結晶シリコン薄膜を用いた薄膜半導体装置において、キャリア移動度に起因する特性を均一にすることができる。
また、上述した断面TEM観察においては、柱状の結晶構造(カラム状とも呼ばれる)を持つ結晶粒が基板表面から成長していることが確認された。このことからすれば、本発明の成膜方法によれば、特に成膜底面側の結晶性が良好な微結晶シリコン薄膜が得られることになる。このため、例えばこの微結晶シリコン薄膜をチャネル層として用いた薄膜トランジスタであれば、ボトムゲート型とすることにより、結晶性がより良好な微結晶シリコン薄膜部分をチャネル形成部(すなわちゲート電極側の部分)とすることができ、キャリア移動度の向上を確実に図ることができるため、有利である。
また、本成膜方法で原料ガスとして用いているハロゲン化ゲルマニウム系ガスは、シラン系ガスとは低温では反応しない。このため、ガス混合室105b内では反応せずに均一に混合される。したがって、大面積の基板上に均一に原料ガス成分を供給できるため、均一な膜質の微結晶シリコン薄膜を得ることができる。尚、ここでいう低温とは、一例としてSi26とGeF4を用いた場合はガスの温度で400℃以下のことを言う。
さらに、本成膜方法で原料ガスとして用いているハロゲン化ゲルマニウム系ガスは、例えばGeF4であればGeF4→GeF3+Fの解離エネルギーが5.0eVと低い。これは、例えばフッ化シラン系ガスであるSiF4→SiF3+Fの解離エネルギーが10.8eVで有ることと比較すると1/2である。このため、低いプラズマ電極での効率の良いガス分解が可能であり、プラズマ電極の低減と原料ガスの利用効率の向上による製造コストの低減を図ることができる。
ここで、上述した実施形態の成膜方法において、原料ガスとしてシラン系ガスとハロゲン化ゲルマニウムガスとを用いているにもかかわらず、成膜される微結晶シリコン薄膜中にGeの混入が殆ど無いことは、以下のような反応系から説明される。
すなわち、本実施形態のプラズマCVD成膜において原料ガスとして用いているSi26とGeF4との気相反応は複雑だが、一般には下記式(1)のような反応系が知られている。
Figure 2008124408
上記式(1)に続く反応として、下記式(2)〜式(4)がある。
Figure 2008124408
以上のような反応系で、Si-Ge結合は容易に分解されると考えられる。換言すれば、Si2H6とGeF4の気相反応ではGeは最終生成物には取り込まれず、GeF4は結果的に触媒として振舞う。これが、本発明の成膜方法によって得られる微結晶シリコン薄膜にGeの混入が認められない理由と考えられる。尚、式(4)で発生するSiH3ラジカルは、一般にシリコン膜成長のための主要なラジカルとされている。
これに対して、特許文献3に開示されている反応性熱CVD法でもガス流量等の条件により同様にGeの混入が少なくなる傾向はあるが、一般的には数%〜数10%程度までは成膜された薄膜にGeが混入することが知られている。これは堆積された薄膜のラマンスペクトルで、波数290cm-1または400cm-1付近に鋭いピークが現れることで確認できる。しかしながら、本発明の方法では殆どGeの混入が認められないことが大きな特徴である。
また、例えばSiGe膜を成膜するためのSiH4とGeH4とのプラズマ反応では、非晶質のSixGe1-x(0<x<1)が成膜されるが、SiH4とGeH4の流量比によりGeの含有率(すなわちxの値)は変化する。しかしながら、本発明の成膜方法を適用した場合には、上述したようにGeF4の流量に依存せずにGeの含有率がほとんどゼロであることが、従来から知られているプラズマ反応とは大きく異なる。
<成膜方法−2>
上記成膜装置100を用い微結晶シリコン薄膜の成膜方法の第2例として、n型やp型の不純物(ドーパント)が予め導入されたn型の微結晶シリコン薄膜またはp型の微結晶シリコン薄膜を成膜する場合を説明する。尚、第1例と重複する説明は省略する。
この場合、第1例で示した原料ガスに加えて不純物を含有するドーパントガスを、ガス供給管105aから処理室101内に導入する。これ以外は、第1例と同様に行って良い。
ドーパントガスとしては、n型の微結晶シリコン薄膜の成膜であれば、n型不純物であるリン(P)を含有するホスフィン(PH3)が用いられる。一方、p型の微結晶シリコン薄膜の成膜であれば、p型不純物であるほう素(B)を含有するジボラン(B26)が用いられる。
このような成膜方法により、微結晶シリコン薄膜の直接成膜と共に、成膜された微結晶シリコン薄膜内に含有させた不純物(ドーパント)の活性化をすることが可能である。
尚、以上のように、不純物を活性化された状態で含有する微結晶シリコン薄膜の成膜を行う処理室101は、不純物を含有しない微結晶シリコン薄膜の成膜を行う処理室101と別に設けることが好ましい。これにより、不純物を含有しない微結晶シリコン薄膜への不純物の混入を防止する。また、不純物を含有しない微結晶シリコン薄膜と、不純物を含有する微結晶シリコン薄膜とを積層成膜する場合、大気中からの不純物混入を防止するために、マルチチャンバ形式のプラズマCVD装置を用い、真空を破らずにそれぞれの成膜を行う処理室間においての基板Wの移送を行うことが好ましい。
<薄膜半導体装置の製造方法−1>
次に、上述した成膜方法を適用した薄膜半導体装置の製造方法の第1例を、図3〜図5の断面工程図に基づいて説明する。尚、第1例においては、CMOS構成のプレーナ型ボトムゲートTFTを備えた表示装置用の駆動パネルの作製に本発明を適用した実施の形態を説明する。
先ず、図3(1)に示すように、絶縁性の基板1を用意する。この基板1には、例えば旭ガラス社製AN100、コーニング社製Code1737等が適宜用いられる。
この基板1上に、ゲート電極3をパターン形成する。ここでは、Mo、W、Ta、Cu等の金属膜をスパッタ成膜し、成膜した金属膜をパターニングしてゲート電極3とする。尚、ゲート電極(金属膜)の膜厚は30〜200nmとする。
次に、プラズマCVD法またはLPCVD法等の成膜方法によって、このゲート電極3上に、ゲート絶縁膜5となる窒化シリコン膜(SiNx)を10〜50nm、この上に酸化シリコン膜(SiOx)を10〜100nm成膜する。これにより、窒化シリコン膜と酸化シリコン膜との積層構造のゲート絶縁膜5を形成する。
以上の後、図3(2)に示すように、先の<成膜方法−1>にて説明した実施形態のCVD成膜方法により、不純物を含有しない微結晶シリコン薄膜7を成膜する。ここでは、膜厚が10〜100nm、好ましくは40nmの微結晶シリコン薄膜7を成膜することとする。
この微結晶シリコン薄膜7がTFTの活性層となるが、この活性層に含まれる酸素、炭素、窒素等の不純物元素濃度は3×1020cm-3以下であることが望ましい。このために、<成膜方法−1>で説明したように、クリーニングガス(例えばフッ素ガスやフッ化ハロゲンガスまたはNF3ガス等)を供給してプラズマエッチングし、処理室内をクリーニングした後に、CVD成膜を行うことにより、これらの不純物元素濃度を低く抑えることとする。
尚、成膜した微結晶シリコン薄膜7に対して、エキシマレーザーなどのパルスレーザー、Arレーザーなどの気体レーザー、YAGなどの固体レーザー、GaNなどの半導体レーザー、Xe(キセノン)アークランプなどの急速加熱法(RTA)、プラズマジェット照射などのエネルギー照射を行って結晶性を助長してもよい。
次に、図3(3)に示すように、続いてプラズマCVD等の方法で、酸化シリコン膜9を1〜100nm程度の膜厚で微結晶シリコン薄膜7上に積層する。
その後、必要に応じて、ここで形成する薄膜トランジスタのVthを制御する目的で、B+イオンをドーズ量0.1E12〜4E12/cm2程度で微結晶シリコン薄膜7にイオン注入する。この際、イオンビームの加速電圧は20〜200keV程度に設定される。
次に、図4(1)に示すように、ゲート電極3をマスクとした基板1側からの裏面露光により、酸化シリコン薄膜9上にレジストパターン201を形成する。そして、このレジストパターン201をマスクにしたイオン注入法により、微結晶シリコン薄膜7中にn型のMOSトランジスタのLDD拡散層7-1を形成するための不純物導入を行う。この際、例えばP+イオンを用い、注入ドーズ量:6E12〜5E13/cm2、加速電圧:20〜200keV程度に設定した質量分離または非質量分離型のイオン注入が行われる。イオン注入後にはレジストパターン201を剥離する。
次に、図4(2)に示すように、pチャンネル領域1pにおけるゲート電極3上部を覆い、かつnチャンネル領域1nを覆うレジストパターン203を形成する。そして、このレジストパターン203をマスクにしたイオン注入によって、pチャンネルの薄膜トランジスタのソース・ドレイン7-2を形成するための不純物導入を行う。この際、例えばB+イオンを用い、注入ドーズ量:1E14〜3E15/cm2、加速電圧:5〜100keV程度に設定した質量分離または非質量分離型のイオン注入が行われる。これにより、pチャンネルの薄膜トランジスタ(pTFT)を形成する。イオン注入後には、レジストパターン203を剥離する。
次いで、図4(3)に示すように、pチャンネル領域1pを覆い、かつnチャンネル領域1nのゲート電極3上部を覆うレジストパターン205を形成する。そして、このレジストパターン205をマスクにしたイオン注入法によって、nチャンネルの薄膜トランジスタのソース・ドレイン7-3を形成するための不純物導入を行う。この際、例えば、P+イオンを用い、注入ドーズ量:1E15〜3E15/cm2、加速電圧10〜200keV程度で注入し、nチャンネルの薄膜トランジスタ(nTFT)を形成する。イオン注入後には、レジストパターン205を剥離する。
以上のようなイオン注入の後、赤外ランプ加熱、燃焼炉加熱等の急速加熱法(RTA)またはレーザーアニール法、600℃以下のN2雰囲気中での炉アニール法等により、微結晶シリコン薄膜7中に導入した不純物を活性化する。
その後、図4(4)に示すように、酸化シリコン膜9と微結晶シリコン薄膜7とを同時にパターンエッチングし、各薄膜トランジスタpTFT,nTFT毎に島状パターンとする。
次に、図5(1)に示すように、島状パターンとした各薄膜トランジスタpTFT,nTFTを覆う状態で、酸化シリコン薄膜11aと水素を含有する窒化シリコン薄膜11bとをこの順に積層成膜し、2層構造の層間絶縁膜11を成膜する。これらの成膜は、例えばプラズマCVD等によって行われる。
この段階で、不活性ガスまたはフォーミングガス中等でのアニール処理により、層間絶縁膜11中の水素、特に窒化シリコン11b中の水素を微結晶シリコン薄膜7中に拡散させる水素化工程を行う。アニール条件は例えば400℃、2時間程度が好ましい。この水素化工程により微結晶シリコン薄膜7中のダングリングボンドを消去し、TFT特性の向上を図ることができる。尚、この水素化工程は窒化シリコン薄膜11b中からの水素拡散方法に限ることは無く、水素プラズマ雰囲気中に微結晶シリコン薄膜7を晒すことでも達成できる。
次いで、図5(2)に示すように、層間絶縁膜11および酸化シリコン膜9に、微結晶シリコン薄膜7のソース/ドレイン7-2,7-3に達するコンタクトホール13を形成する。その後、層間絶縁膜11上に、このコンタクトホール13を介してソース/ドレイン7-2,7-3に接続される配線電極15を形成する。この配線電極15の形成は、Al−Si等の配線用電極材料をスパッタ成膜し、これをパターニングすることによって行う。
その後、図5(3)に示すように、例えばアクリル系有機樹脂からなる平坦化絶縁膜17を約1μmの膜厚で塗布形成する。次に、この平坦化絶縁膜17に配線電極15に達するコンタクトホール19を形成する。そして、このコンタクトホール19を介して配線電極15に接続された画素電極21を、平坦化絶縁膜17上に形成する。画素電極21は、例えば透明導電性材料であるITO(Indium Tin Oxide)をスパッタ成膜し、これをパターニングすることによって形成する。
また、画素電極21がITOからなる場合には、画素電極21を窒素雰囲気中において約220℃で30分間アニールする。
尚、ここでは、表示装置用の駆動パネルにおいて、画素電極の駆動用の画素トランジスタがnチャンネル型の薄膜トランジスタnTFTであり、周辺回路がCMOS構成であり、周辺回路の1部であるpチャンネル型の薄膜トランジスタpTFTのみを示した。
以上により、駆動パネルの完成となる。以上の後は、例えば液晶表示装置であれば、画素電極21を覆う状態で配向膜を形成する。そして、基板上に対向電極と配向膜とをこの順に成膜した対向基板を容易し、配向膜間に液晶相を封止して表示装置を完成させる。また、有機電界発光素子を用いた有機EL表示装置であれば、画素電極上に発光層を含む有機層を積層形成し、有機層上に電極を設け、必要に応じて電極上を保護膜で覆うことにより、表示装置を完成させる。
以上のような製造方法によれば、微結晶シリコン薄膜7の成膜に上述した成膜方法を適用したことから、産業上実用化できる程度に成膜速度を保って成膜された微結晶シリコン薄膜7をチャネル層として用いたボトムゲート型の薄膜トランジスタpTFT,nTFTが得られる。これらの薄膜トランジスタpTFT,nTFTは、結晶性のシリコン薄膜7をチャネル層として用いていることから、アモルファスシリコンよりもキャリア移動度が高く高機能な回路を構成することが可能であり、このよう薄膜トランジスタpTFT,nTFTを用いて駆動回路が構成された表示装置の高機能化が図られる。
さらに、微結晶シリコン薄膜7の成膜が、低温で行われるため、ゲート電極3として比較的低融点のAl、Cu、Ag、Au等の金属を用いることが可能になる。
また、レーザー結晶化装置のような複雑で高価な装置を用いることなく、プラズマCVD装置と金属スパッタ装置、露光装置、エッチング装置のみで薄膜トランジスタを作製できる。これは非晶質シリコンTFTと同等のプロセスによって、微結晶シリコン薄膜を用いたTFTで可能になることを意味する。すなわち近年大型化が進む非晶質シリコンTFTと同様な基板の大型化が本発明により可能になることであり、一般にG8世代以降とされる2m角以上の大型ガラス基板にも対応するため、対角50インチ以上の大型表示装置の生産も可能となり、工業上有益な効果が得られる。
尚、本実施例では、薄膜トランジスタpTFT,nTFTをシングルゲート構造を図示しているが、画素トランジスタである薄膜トランジスタnTFTはソース領域とドレイン領域の間に複数のゲートを持つマルチゲート構造としても良い。マルチゲート構造のTFTはシングルゲート構造のTFTに比較してオフ電流を低減し易いという特徴があり、非晶質シリコンを用いたTFTに比較するとオフ電流が高い微結晶シリコンTFTでは有用である。
<薄膜半導体装置の製造方法−2>
次に、上述した成膜方法を適用した薄膜半導体装置の製造方法の第2例を、図6〜図7の断面工程図に基づいて説明する。尚、第2例においては、nチャンネルのみの単チャネル構成のチャネルストップ型ボトムゲートTFTを備えた表示装置用の駆動パネルの作製に本発明を適用した実施の形態を説明する。
先ず、第1例において図3(1)〜(3)を用いて説明したと同様の手順にて、絶縁性の基板1上にゲート電極3をパターン形成し、これを覆う状態でゲート絶縁膜5を成膜し、先の<成膜方法−1>にて説明した実施形態のCVD成膜方法により不純物を含有しない微結晶シリコン薄膜7を成膜し、次いで酸化シリコン薄膜9を成膜するまでを行う。また、その後、必要に応じて、ここで形成する薄膜トランジスタのVthを制御する目的でのイオン注入を行う。
以上の後、図6(1)に示すように、ゲート電極3をマスクとした基板1側からの裏面露光により、酸化シリコン薄膜9上にレジストパターン207を形成する。そして、このレジストパターン201をマスクにしたエッチングにより、微結晶シリコン薄膜7上の酸化シリコン薄膜9を除去し、ゲート電極3上のみに酸化シリコン薄膜9を残す。このエッチングの後には、レジストパターン207を剥離する。
次に、図6(2)に示すように、先の<成膜方法−2>にて説明した実施形態のCVD成膜方法により、活性化された不純物を含有する微結晶シリコン薄膜23を成膜する。ここでは、膜厚が10〜500nmの微結晶シリコン薄膜23を成膜することとする。この際、ドーパントガスにホスフィン(PH3)を用いることでn型の微結晶シリコン21(以下、n型微結晶シリコン薄膜23と記す)を形成する。また、このn型微結晶シリコン薄膜23の成膜は、不純物を含有しない微結晶シリコン薄膜7を成膜する処理室とは別の処理室内で行うこととする。尚、ドーパントガスをジボラン(B2H6)にすると、活性化されたp型不純物を含有するp型微結晶シリコン薄膜が得られる。
これより、先に形成した微結晶シリコン薄膜7がチャネル層7となり、ここで形成したドーパントを含有するn型微結晶シリコン薄膜23がソース/ドレイン層23となる。
次いで、図6(3)に示すように、ソース/ドレイン層23と、チャネル層7とを同時にソース/ドレイン層23のパターンでエッチングし、各薄膜トランジスタの領域毎に島状パターンとする。
エッチングストップ層となる酸化シリコン膜9上においてエッチングが止まるため、ソース/ドレイン23aと、チャネル層7が1工程で同時に形成される。これにより、nチャンネルのチャネルストップ型薄膜トランジスタnTFTを形成する。
以上の後、図7(1)〜(3)に示す工程は、第1例において図5(1)〜(3)を用いて説明したと同様に行う。
すなわち先ず図7(1)に示すように、形成された薄膜トランジスタnTFTを覆う状態で、酸化シリコン薄膜11aと水素を含有する窒化シリコン薄膜11bとの2層構造の層間絶縁膜11を成膜する。その後、水素化処理を行う。
次いで、図7(2)に示すように、層間絶縁膜11に、ソース/ドレイン23aに達するコンタクトホール13を形成し、さらにソース/ドレイン23aに接続される配線電極15を形成する。
その後、図7(3)に示すように、平坦化絶縁膜17を塗布形成し、画素トランジスタとして用いられる薄膜トランジスタnTFTの配線電極15に達するコンタクトホール19を形成する。次に、コンタクトホール19を介して配線電極15に接続された画素電極21を形成する。
以上により、駆動パネルの完成となる。以上の後の表示装置の作製手順は、第1例と同様である。
以上のような第2例の製造方法であっても、微結晶シリコン薄膜7の成膜に上述した成膜方法を適用したことから、第1例と同様の効果が得られる。これに加えて、ソース/ドレイン23aとなるn型微結晶シリコン薄膜23の成膜にも、上述した成膜方法を適用したことから、チャネルストップ型ボトムゲートTFTの作製工程の効率化を図ることができる。さらに、微結晶シリコン薄膜7およびn型微結晶シリコン薄膜23の成膜が、低温で行われるため、ゲート電極3として比較的低融点のAl、Cu、Ag、Au等の金属を用いることが可能になる。
本第2例では、nチャンネルのみの単チャネル構成のチャネルストップ型ボトムゲートTFTの形成を例示した。しかしながら、CMOS構成とする場合には、微結晶シリコン薄膜23の成膜を、n型とp型との2回行えば良い。また、他の構造のpチャンネル型薄膜トランジスタと組合わせても良い。
<薄膜半導体装置の製造方法−3>
次に、上述した成膜方法を適用した薄膜半導体装置の製造方法の第2例を、図6〜図7の断面工程図に基づいて説明する。尚、第2例においては、nチャンネルのみの単チャネル構成のチャネルエッチ型ボトムゲートTFTを備えた表示装置用の駆動パネルの作製に本発明を適用した実施の形態を説明する。
先ず、第1例において図3(1)〜(3)を用いて説明したと同様の手順にて、絶縁性の基板1上にゲート電極3をパターン形成し、これを覆う状態でゲート絶縁膜5を成膜し、先の<成膜方法−1>にて説明した実施形態のCVD成膜方法により不純物を含有しない微結晶シリコン薄膜7を成膜するまでを行う。その後、必要に応じて、ここで形成する薄膜トランジスタのVthを制御する目的でのイオン注入を行う。
その後、図8(1)に示すように、先の<成膜方法−2>にて説明した実施形態のCVD成膜方法により、活性化された不純物を含有する微結晶シリコン薄膜23を成膜する。ここでは、膜厚が10〜200nmの微結晶シリコン薄膜23を成膜することとする。この際、ドーパントガスにホスフィン(PH3)を用いることでn型の微結晶シリコン21(以下、n型微結晶シリコン薄膜23と記す)を形成する。また、このn型微結晶シリコン薄膜23の成膜は、不純物を含有しない微結晶シリコン薄膜7を成膜する処理室とは別の処理室内で行うこととする。ただし、微結晶シリコン薄膜7を成膜した後、処理室内の真空状態を破らずに基板を移送してn型微結晶シリコン薄膜23を成膜することが好ましい。尚、ドーパントガスをジボラン(B2H6)にすると、活性化されたp型不純物を含有するp型微結晶シリコン薄膜が得られる。
これより、先に形成した微結晶シリコン薄膜7がチャネル層7となり、ここで形成したドーパントを含有するn型微結晶シリコン薄膜23がソース/ドレイン層23となる。
次いで、図8(2)に示すように、ソース/ドレイン層23と、チャネル層7とを同時にパターンエッチングし、各薄膜トランジスタの領域毎に島状パターンとする。
その後、図8(3)に示すように、島状パターンとなったソース/ドレイン層23を、ゲート電極3の上方において2つに分割するようにパターンエッチングし、ソース/ドレイン23aを形成する。これにより、nチャンネルのチャネルエッチ型薄膜トランジスタnTFTを形成する。
以上の後、図9(1)〜(3)に示す工程は、第1例において図5(1)〜(3)を用いて説明したと同様に行う。
すなわち先ず図9(1)に示すように、形成された薄膜トランジスタnTFTを覆う状態で、酸化シリコン薄膜11aと水素を含有する窒化シリコン薄膜11bとの2層構造の層間絶縁膜11を成膜する。その後、水素化処理を行う。
次いで、図9(2)に示すように、層間絶縁膜11に、ソース/ドレイン23aに達するコンタクトホール13を形成し、さらにソース/ドレイン23aに接続される配線電極15を形成する。
その後、図9(3)に示すように、平坦化絶縁膜17を塗布形成し、画素トランジスタとして用いられる薄膜トランジスタnTFTの配線電極15に達するコンタクトホール19を形成する。次に、コンタクトホール19を介して配線電極15に接続された画素電極21を形成する。
以上により、駆動パネルの完成となる。以上の後の表示装置の作製手順は、第1例と同様である。
以上のような第3例の製造方法であっても、微結晶シリコン薄膜7の成膜に上述した成膜方法を適用したことから、第1例と同様の効果が得られる。これに加えて、ソース/ドレイン23aとなるn型微結晶シリコン薄膜23の成膜にも、上述した成膜方法を適用したことから、チャネルストップ型ボトムゲートTFTの作製工程の効率化を図ることができる。さらに、微結晶シリコン薄膜7およびn型微結晶シリコン薄膜23の成膜が、低温で行われるため、ゲート電極3として比較的低融点のAl、Cu、Ag、Au等の金属を用いることが可能になることは第2例と同様である。
本第3例では、nチャンネルのみの単チャネル構成のチャネルエッチ型ボトムゲートTFTの形成を例示した。しかしながら、CMOS構成とする場合には、微結晶シリコン薄膜23の成膜を、n型とp型との2回行えば良い。また、他の構造のpチャンネル型薄膜トランジスタと組合わせても良い。
尚、以上説明した第1例〜第3例においては、全て平坦化絶縁膜17上に画素電極21を形成する構造を例示しているが、平坦化絶縁膜17は必ずしも必要ではなく、層間絶縁膜11上に直接、画素電極21を形成しても良い。
また、以上説明した第1例〜第3例においては、全てボトムゲート型の薄膜トランジスタの作製に本発明を適用した実施の形態を説明した。しかしながら、本発明は図10に示すようなデュアルゲート型の薄膜トランジスタTFT’の製造にも適用可能である。この場合、第3例と同様にして図4(4)で説明した工程までを行った後、本発明の<成膜方法−1>を適用して成膜した微結晶シリコン薄膜7上に、酸化シリコン膜9(ゲート絶縁膜)を介して第2のゲート電極3’を形成する工程を行う。このゲート電極3’は、ゲート電極3との間に微結晶シリコン薄膜7を狭持して配置される。上下のゲート電極3,3’には同一の電位を与えても良く、また異なる電位を与えてしきい電圧を意図的に制御することもできる。
また、図11に示すようなトップゲート型の薄膜トランジスタTFT”の製造にも適用可能である。この場合、基板1上に、バッファ層である窒化シリコン膜31および酸化シリコン膜33をこの順に成膜し、その上部に本発明の<成膜方法−1>を適用して成膜した微結晶シリコン薄膜7を成膜する。そして、この微結晶シリコン薄膜7を島状にパターニングした後、これを覆う状態で酸化シリコン膜9からなるゲート絶縁膜を成膜し、この上部にゲート電極3’を形成する工程を行う。そして、このゲート電極3’および必要に応じて形成したレジストパターンをマスクにしたイオン注入によって、微結晶シリコン薄膜7に不純物を導入してLDD拡散層やソース/ドレインを形成する。
さらに以上説明した第1例〜第3例においては、薄膜トランジスタを用いた表示装置の作製に本発明を適用した薄膜半導体装置の製造方法を説明したが、本発明は薄膜トランジスタを備えた表示装置のみならず、太陽電池、光センサ等の光電変換素子などの結晶性のシリコン薄膜を用いた薄膜半導体装置の製造方法であれば、同様に適用可能であり、同様の効果を得ることが可能である。
実施形態の製造方法に用いる成膜装置の一例を示す構成図である。 実施形態の製造方法によって得られた微結晶シリコン薄膜のラマンスペクトルである。 本発明を適用した薄膜半導体装置の第1例を示す断面工程図(その1)である。 本発明を適用した薄膜半導体装置の第1例を示す断面工程図(その2)である。 本発明を適用した薄膜半導体装置の第1例を示す断面工程図(その3)である。 本発明を適用した薄膜半導体装置の第2例を示す断面工程図(その1)である。 本発明を適用した薄膜半導体装置の第2例を示す断面工程図(その2)である。 本発明を適用した薄膜半導体装置の第3例を示す断面工程図(その1)である。 本発明を適用した薄膜半導体装置の第3例を示す断面工程図(その2)である。 本発明が適用される他の薄膜トランジスタ(薄膜半導体装置)の構成図である。 本発明が適用されるさらに他の薄膜トランジスタ(薄膜半導体装置)の構成図である。
符号の説明
1…基板、7…微結晶シリコン薄膜、23…n型微結晶シリコン薄膜、nTFT…nチャンネル型の薄膜トランジスタ、pTFT…pチャンネル型の薄膜トランジスタ、TFT’,TFT”…薄膜トランジスタ

Claims (5)

  1. Sin2n+2(n=1,2,3,…)で表されるシラン系ガスと、ハロゲン化ゲルマニウムガスとを原料ガスとして用いたプラズマCVD法により、結晶構造を含むシリコン薄膜を基板上に成膜する工程を行う
    ことを特徴とする薄膜半導体装置の製造方法。
  2. 請求項1記載の薄膜半導体装置の製造方法において、
    前記ハロゲン化ゲルマニウムガスは、GeF2、GeF4、およびGeCl4のうちの少なくとも1つである
    ことを特徴とする薄膜半導体装置の製造方法。
  3. 請求項1記載の薄膜半導体装置の製造方法において、
    前記原料ガスとしてさらにドーパントガスを用いることにより、活性化されたドーパントを含有するシリコン薄膜を成膜する
    ことを特徴とする薄膜半導体装置の製造方法。
  4. 請求項3記載の薄膜半導体装置の製造方法において、
    前記ドーパントガスとして、n型またはp型の不純物を含有するガスを用いる
    ことを特徴とする薄膜半導体装置の製造方法。
  5. 請求項1記載の薄膜半導体装置の製造方法において、
    前記シリコン薄膜を成膜する工程では、前記基板を加熱する
    ことを特徴とする薄膜半導体装置の製造方法。
JP2006309829A 2006-11-16 2006-11-16 薄膜半導体装置の製造方法 Pending JP2008124408A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006309829A JP2008124408A (ja) 2006-11-16 2006-11-16 薄膜半導体装置の製造方法
TW096138717A TW200834674A (en) 2006-11-16 2007-10-16 Method for manufacturing thin film semiconductor device
US11/976,820 US20080119030A1 (en) 2006-11-16 2007-10-29 Method for manufacturing thin film semiconductor device
KR1020070113523A KR20080044765A (ko) 2006-11-16 2007-11-08 박막 반도체장치의 제조 방법
CNA2007101860062A CN101183643A (zh) 2006-11-16 2007-11-09 薄膜半导体装置的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006309829A JP2008124408A (ja) 2006-11-16 2006-11-16 薄膜半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2008124408A true JP2008124408A (ja) 2008-05-29

Family

ID=39417435

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006309829A Pending JP2008124408A (ja) 2006-11-16 2006-11-16 薄膜半導体装置の製造方法

Country Status (5)

Country Link
US (1) US20080119030A1 (ja)
JP (1) JP2008124408A (ja)
KR (1) KR20080044765A (ja)
CN (1) CN101183643A (ja)
TW (1) TW200834674A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011071498A (ja) * 2009-08-25 2011-04-07 Semiconductor Energy Lab Co Ltd 微結晶半導体膜の作製方法、及び半導体装置の作製方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2014067796A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9178075B2 (en) 2011-02-28 2015-11-03 Panasonic Liquid Crystal Display Co., Ltd. Thin-film semiconductor device and method for manufacturing the same
JP2017005271A (ja) * 2009-06-30 2017-01-05 株式会社半導体エネルギー研究所 半導体装置
JP2017188688A (ja) * 2008-06-17 2017-10-12 株式会社半導体エネルギー研究所 トランジスタ及び半導体装置

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101219038B1 (ko) 2004-10-26 2013-01-07 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
TWI367565B (en) * 2008-02-05 2012-07-01 Chimei Innolux Corp Double-layered active area structure with a polysilicon layer and a microcrystalline silicon layer, method for manufactruing the same and its application
US8034663B2 (en) * 2008-09-24 2011-10-11 Eastman Kodak Company Low cost die release wafer
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR101880721B1 (ko) * 2011-06-21 2018-07-23 삼성디스플레이 주식회사 박막 트랜지스터의 제조 방법, 상기 방법에 의해 제조된 박막 트랜지스터, 유기 발광 디스플레이 장치의 제조 방법, 및 상기 방법에 의해 제조된 유기 발광 디스플레이 장치
DE102013210092A1 (de) * 2013-05-29 2014-12-04 Robert Bosch Gmbh Verfahren zur Herstellung einer Solarzelle
CN103681515B (zh) * 2013-12-24 2016-06-22 京东方科技集团股份有限公司 一种互补型薄膜晶体管驱动背板及其制备方法、显示装置
TWI519668B (zh) 2014-07-17 2016-02-01 國立清華大學 具有結晶矽薄膜之基板及其製備方法
CN106328586A (zh) 2016-08-24 2017-01-11 武汉华星光电技术有限公司 低温多晶硅阵列基板的制备方法、阵列基板以及显示面板
CN107017177B (zh) * 2017-04-25 2019-06-07 京东方科技集团股份有限公司 成膜速率检测模组、成膜设备、成膜速率检测方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3073327B2 (ja) * 1992-06-30 2000-08-07 キヤノン株式会社 堆積膜形成方法
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10971103B2 (en) 2008-06-17 2021-04-06 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
US10665195B2 (en) 2008-06-17 2020-05-26 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
US10121435B2 (en) 2008-06-17 2018-11-06 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
US11837189B2 (en) 2008-06-17 2023-12-05 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
US11620962B2 (en) 2008-06-17 2023-04-04 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
JP2017188688A (ja) * 2008-06-17 2017-10-12 株式会社半導体エネルギー研究所 トランジスタ及び半導体装置
US11455968B2 (en) 2008-06-17 2022-09-27 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, display device, and electronic device
JP2017005271A (ja) * 2009-06-30 2017-01-05 株式会社半導体エネルギー研究所 半導体装置
US20180233589A1 (en) 2009-06-30 2018-08-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US10790383B2 (en) 2009-06-30 2020-09-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US11417754B2 (en) 2009-06-30 2022-08-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9985118B2 (en) 2009-06-30 2018-05-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US10418467B2 (en) 2009-06-30 2019-09-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2011071498A (ja) * 2009-08-25 2011-04-07 Semiconductor Energy Lab Co Ltd 微結晶半導体膜の作製方法、及び半導体装置の作製方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US9178075B2 (en) 2011-02-28 2015-11-03 Panasonic Liquid Crystal Display Co., Ltd. Thin-film semiconductor device and method for manufacturing the same
JP2014067796A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置

Also Published As

Publication number Publication date
KR20080044765A (ko) 2008-05-21
TW200834674A (en) 2008-08-16
CN101183643A (zh) 2008-05-21
US20080119030A1 (en) 2008-05-22

Similar Documents

Publication Publication Date Title
JP4488039B2 (ja) 薄膜半導体装置の製造方法
JP2008124408A (ja) 薄膜半導体装置の製造方法
JP4026182B2 (ja) 半導体装置の製造方法、および電子機器の製造方法
US8093112B2 (en) Method for manufacturing display device
US20090137103A1 (en) Method for manufacturing semiconductor device
WO1995034916A1 (fr) Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
US20070298553A1 (en) Thin Film Transistor and Method For Production Thereof
US7943447B2 (en) Methods of fabricating crystalline silicon, thin film transistors, and solar cells
KR20100100187A (ko) 다결정 실리콘층의 제조방법
WO2017128564A1 (zh) 氧化硅薄膜的沉积方法及低温多晶硅tft基板的制备方法
JP5309387B2 (ja) 半導体層とこの半導体層を用いた半導体装置および表示装置
JP4578826B2 (ja) 半導体装置の作製方法
JP2000208422A (ja) 積層膜形成方法及び薄膜製造装置
JP2007250944A (ja) 半導体薄膜の成膜方法および半導体薄膜の成膜装置
US6825069B2 (en) System and method for fabricating a transistor by a low temperature heat treatment process
US8729553B2 (en) Thin film transistor including catalyst layer, method of fabricating the same, and organic light emitting diode display device having the TFT
JP2005108931A (ja) 表示装置の製造方法および表示装置
JP4547857B2 (ja) トランジスタの製造方法
WO2011161901A1 (ja) 多結晶シリコン薄膜の形成方法、多結晶シリコン薄膜基板、シリコン薄膜太陽電池及びシリコン薄膜トランジスタ装置
US20200234956A1 (en) Method of Fabricating Thin, Crystalline Silicon Film and Thin Film Transistors
JP4337554B2 (ja) 半導体装置の製造方法
JP4337555B2 (ja) 半導体装置の製造方法
KR100719690B1 (ko) 박막트랜지스터 제조방법
CN110993611A (zh) 一种tft基板的制作方法和tft基板
JP2005259818A (ja) 半導体膜の結晶化方法、薄膜トランジスタの製造方法、電気光学装置及び電子機器

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090127