JP2007504535A - マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令 - Google Patents

マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令 Download PDF

Info

Publication number
JP2007504535A
JP2007504535A JP2006524868A JP2006524868A JP2007504535A JP 2007504535 A JP2007504535 A JP 2007504535A JP 2006524868 A JP2006524868 A JP 2006524868A JP 2006524868 A JP2006524868 A JP 2006524868A JP 2007504535 A JP2007504535 A JP 2007504535A
Authority
JP
Japan
Prior art keywords
thread
instruction
microprocessor
register
operand
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006524868A
Other languages
English (en)
Other versions
JP4818918B2 (ja
Inventor
キセル,ケビン
Original Assignee
ミップス テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/684,348 external-priority patent/US20050050305A1/en
Priority claimed from US10/684,350 external-priority patent/US7376954B2/en
Application filed by ミップス テクノロジーズ インコーポレイテッド filed Critical ミップス テクノロジーズ インコーポレイテッド
Priority claimed from PCT/US2004/027827 external-priority patent/WO2005022384A1/en
Publication of JP2007504535A publication Critical patent/JP2007504535A/ja
Application granted granted Critical
Publication of JP4818918B2 publication Critical patent/JP4818918B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/3009Thread control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation
    • G06F8/4441Reducing the execution time required by the program code
    • G06F8/4442Reducing the number of cache misses; Data prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
    • G06F9/3888Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units controlled by a single instruction for multiple threads [SIMT] in parallel
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/54Interprogram communication

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • Advance Control (AREA)
  • Executing Machine-Instructions (AREA)
  • Multi Processors (AREA)

Abstract

マルチスレッド化されたマイクロプロセッサで実行され、かつ単一の命令発行スロットを占めるfork命令が開示される。このfork命令は、親スレッド内で実行されるとともに、新規スレッドの最初の命令アドレスを指定する第1のオペランドと、第2のオペランドとを有する。マイクロプロセッサは、新規スレッドのためにコンテキストを割り振り、第1のオペランドを新規スレッドコンテキストのプログラムカウンタにコピーし、第2のオペランドを新規スレッドコンテキストのレジスタにコピーし、実行のために新規スレッドをスケジューリングすることによって、fork命令を実行する。割り振りに関して空いている新規スレッドコンテキストがない場合には、マイクロプロセッサは、fork命令に対する例外を送出する。fork命令は、親スレッドの汎用レジスタを新規スレッドにコピーしないので効率的である。第2のオペランドは、通常、新規スレッド用の最初の汎用レジスタセット値を含むメモリ内のデータ構造へのポインタとして使用される。

Description

本願は、すべての目的のために参照により本明細書に組み込まれる、次の同時係属の米国非仮特許出願の一部継続出願(CIP)である。
Figure 2007504535
上記の同時係属の米国非仮特許出願が、次の米国仮特許出願の恩恵を主張するものであり、次の米国仮特許出願のそれぞれは、その恩恵を主張するものであり、すべての目的のために参照により本明細書に組み込まれている。
Figure 2007504535
本願は、それぞれがすべての目的のために参照により本明細書に組み込まれている、次の米国非仮特許出願に関連し、これらと同時に出願された。
Figure 2007504535
本発明は、全般的にマルチスレッド化されたプロセッサ(multithreaded processor)の分野に関し、具体的には、マルチスレッド化されたプロセッサで新しい実行のスレッドを生成する命令に関する。
マイクロプロセッサ設計者は、多数の技術を使用して性能を高めている。ほとんどのマイクロプロセッサが、固定された周波数で動作するクロック信号を使用して動作する。各クロックサイクルで、マイクロプロセッサの回路が、それぞれの機能を実行する。ヘネシ(Hennessy)およびパターソン(Patterson)によれば、マイクロプロセッサの性能の真の尺度は、1つのプログラムまたはプログラムの集合を実行するのに必要な時間である。この観点から、マイクロプロセッサの性能は、そのクロック周波数、1つの命令を実行するのに必要なクロックサイクル数の平均値(言い換えると、クロックサイクルあたりに実行される命令の個数の平均値)、およびプログラムまたはプログラムの集合で実行される命令の個数に応じる。半導体の科学者およびエンジニアは、主にトランジスタサイズを縮小し、より高速のスイッチング時間をもたらすことによって、マイクロプロセッサがより高いクロック周波数で動作することを可能にすることを絶えず行っている。実行される命令の個数は、主に、プログラムによって実行されるタスクによって固定されているが、マイクロプロセッサの命令セットアーキテクチャによっても影響を受ける。大きい性能向上が、クロックサイクルあたりの命令を改善するアーキテクチャ的概念および編成概念、特に並列性という概念によって実現されてきた。
マイクロプロセッサのクロックサイクルあたりの命令、ならびにクロック周波数を改善した並列性という概念の1つが、マイクロプロセッサのパイプラインステージ内で複数の命令の実行をオーバーラップさせるパイプライン化である。理想的な状況で、各クロックサイクルで、1つの命令が、パイプラインで新しいステージに移動し、この新しいステージは、その命令に対して異なる機能を実行する。したがって、各個々の命令は、完了に複数のクロックサイクルを要するが、個々の命令の複数のサイクルがオーバーラップしているので、命令ごとの平均クロック数が減る。パイプライン化の性能改善は、プログラム内の命令がそれを許容する範囲まで、すなわち、命令が実行のためにその先行命令に依存せず、したがってその先行命令と並列に実行できる(一般に、これを命令レベル並列性と称する)範囲まで実現することができる。命令レベル並列性が、現代のマイクロプロセッサによって活用されるもう1つの形が、一般にスーパースカラマイクロプロセッサと称する、クロックサイクルごとに実行のために複数の命令を発行することである。
上で述べたことは、個々の命令レベルでの並列性に関する。しかし、命令レベル並列性の活用を介して達成できる性能改善は、制限されている。制限された命令レベル並列性によって課せられるさまざまな制約、および他の性能を制約する問題が、最近、一般にスレッドレベル並列性と称する、命令のブロック、シーケンス、またはストリームのレベルでの並列性活用への関心を復活させた。スレッドとは、単に、プログラム命令のシーケンスまたはストリームである。マルチスレッド化されたマイクロプロセッサは、インターリーブマルチスレッディング、ブロックドマルチスレッディング、または同時マルチスレッディングなど、さまざまなスレッドの命令のフェッチおよび発行を指示する、あるスケジューリングポリシに従って、複数のスレッドを並行して実行する。マルチスレッド化されたマイクロプロセッサは、通常、複数のスレッドが、並行した形でマイクロプロセッサの機能ユニット(たとえば、命令フェッチおよびデコードユニット、キャッシュ、分岐予測ユニット、およびロード/ストア、整数、浮動小数点、SIMDなどの実行ユニット)を共用することを可能にする。しかし、マルチスレッド化されたマイクロプロセッサは、命令をフェッチするスレッドと命令を発行するスレッドとの間で、すばやく切り替える能力を促進するために、複数のプログラムカウンタおよび汎用レジスタセットなど、各スレッドの独自の状態を保管する、リソースまたはコンテキストの複数の組を含む。
マルチスレッディングマイクロプロセッサによって対処される、性能を制約する問題の一例が、キャッシュミスに起因して、実行されなければならないマイクロプロセッサの外部のメモリへのアクセスが、通常は比較的長い待ち時間を有するという事実である。現代のマイクロプロセッサベースのコンピュータシステムのメモリアクセス時間が、キャッシュヒットアクセス時間より1桁から2桁長くなることが一般的である。その結果、パイプラインが、メモリからのデータを待って失速している間に、シングルスレッド式マイクロプロセッサのパイプラインステージの一部またはすべてが、アイドルになり、多数のクロックサイクルの間に有用な作業を実行しない場合がある。マルチスレッド化されたマイクロプロセッサは、メモリフェッチ待ち時間中に他のスレッドからの命令を発行し、これによって、ページフォールト時にタスク切り替えを実行するオペレーティングシステムに多少似ているが、それより微細な細分性レベルで、パイプラインステージが有用な作業を実行して前進することを可能にすることによって、この問題を解決することができる。他の例が、分岐誤予測および付随するパイプラインフラッシュに起因する、データ依存性に起因する、または除算命令などの長い待ち時間の命令に起因する、パイプライン失速およびそれに付随するアイドルサイクルである。やはり、そうでなければアイドルになるはずのパイプラインステージに、他のスレッドからの命令を発行するマルチスレッド化されたマイクロプロセッサの能力は、スレッドを含むプログラムまたはプログラムの集合を実行するのに必要な時間を大きく減らすことができる。もう1つの問題、特に組込みシステムにおける問題が、割込みサービスに関連する、浪費されるオーバーヘッドである。通常、入出力デバイスが、マイクロプロセッサに割込みイベントを信号で伝える時に、そのマイクロプロセッサは、割込みサービスルーチンに制御を切り替えるが、この切り替えは、現在のプログラム状態を保存し、割込みをサービスし、かつ割込みがサービスされた後に、現在のプログラム状態を復元することを必要とする。マルチスレッド化されたマイクロプロセッサは、イベントサービスコードが、それ自体のコンテキストを有するそれ自体のスレッドになる能力を提供する。その結果、入出力デバイスがイベントを信号で伝えることに応答して、マイクロプロセッサは、すばやく(おそらく単一のクロックサイクルで)イベントサービススレッドに切り替えることができ、これによって、従来の割込みサービスルーチンオーバーヘッドをこうむらなくなる。
命令レベル並列性の度合が、マイクロプロセッサがパイプライン化およびスーパースカラ命令発行の利益を利用できる範囲を指定するのと同様に、スレッドレベル並列性の度合は、マイクロプロセッサがマルチスレッド化された実行を利用できる範囲を指定する。スレッドの重要な特性が、マルチスレッド化されたマイクロプロセッサで実行される他のスレッドとは無関係であることである。スレッドは、その命令が他のスレッドの命令に依存しない範囲まで、別のスレッドから無関係である。スレッドの独立の特性は、マイクロプロセッサが、さまざまなスレッドの命令を並行して実行することを可能にする。すなわち、マイクロプロセッサは、他のスレッドの発行される命令にかかわりなく、あるスレッドの命令を実行ユニットに発行することができる。スレッドが共通のデータにアクセスする範囲まで、スレッド自体は、互いにデータアクセスを同期化するようにプログラムされて、正しい動作を保証し、マイクロプロセッサ命令発行ステージが、依存性を気にかける必要をなくさなければならない。
前述から観察できるように、複数のスレッドを並行して実行するプロセッサは、複数のスレッドを含むプログラムまたはプログラムの集合を実行するのに必要な時間を減らすことができる。しかし、実行の新しいスレッドの作成およびディスパッチに関連するオーバーヘッドがある。すなわち、マイクロプロセッサは、新規スレッドを作成するのに必要な機能(通常は、新規スレッドのコンテキストを割り振り、および親スレッドのコンテキストを新規スレッドのコンテキストにコピーすること)を実行し、かつ実行のために新規スレッドをスケジューリングし、すなわち、マイクロプロセッサが、新規スレッドからの命令のフェッチおよび発行をいつ開始するかを判定して、有用な時間を費やさなければならない。オーバーヘッド時間は、マルチタスキングオペレーティングシステムのタスク切り替えオーバーヘッドに類似し、行列乗算、ネットワークから受信したパケットの処理、またはイメージのレンダリングなど、プログラムまたはプログラムの集合によって達成されなければならない実際のタスクの実行に寄与しない。その結果、理論的には、複数のスレッドを並列に実行することによって、マイクロプロセッサの性能を改善することができるが、性能向上の範囲は、新規スレッド作成のオーバーヘッドによって制限される。言い換えると、スレッド作成オーバーヘッドが大きいほど、スレッド作成のコストを償却するために新規スレッドによって実行されなければならない有用な作業の量が増える。比較的長い実行時間を有するスレッドについて、スレッド作成オーバーヘッドは、本質的に性能に無関係である場合がある。しかし、一部のアプリケーションは、比較的頻繁に作成され、比較的短い実行時間を有するスレッドから利益を得る場合があり、この場合に、スレッド作成オーバーヘッドは、マルチスレッディングからの実質的な性能向上を実現するために、小さくしなければならない。したがって、必要なものは、その命令セットに軽量スレッド作成命令を有する、マルチスレッド化されたマイクロプロセッサである。
本発明は、実行された時に、新規スレッドのためにスレッドコンテキストを割り振り、かつ新規スレッドの実行をスケジューリングする、マルチスレッド化されたマイクロプロセッサ命令セットに単一の命令を提供する。一実施形態で、この命令は、マイクロプロセッサ内の単一の命令発行スロットをRISC様の形で占める。この命令は、コンテキストが順次コピーされる場合に長い時間を必要とし、または並列にコピーされる場合に大量のデータパスおよび多重化論理を必要とする、新規スレッドへの親スレッドコンテキスト全体のコピーなしで済ませるので、非常に小さいオーバーヘッドを有する。その代わりに、この命令は、新規スレッドコンテキストのプログラムカウンタに保管される最初の命令フェッチアドレスである第1のオペランドと、汎用レジスタのうちの1つなど、新規スレッドコンテキストのレジスタセットのレジスタのうちの1つに保管される第2のオペランドとを含む。第2のオペランドは、新規スレッドによって、最初の汎用レジスタセット値などの新規スレッドが必要とする情報を含むメモリ内のデータ構造へのポインタとして、使用することができる。第2のオペランドは、そのデータ構造からロードすることによって、新規スレッドが、新規スレッドによって必要とするレジスタだけに投入することを可能にする。多くの新規スレッドが、1個と5個との間のレジスタだけに投入することを必要とすることに、本発明人が気付いたので、これは有利である。多くの現代のマイクロプロセッサは、たとえば32個の汎用レジスタを含み、したがって、通常の場合に、本発明のマイクロプロセッサは、新規スレッドのレジスタセットに親スレッドレジスタセット全体をコピーするむだな努力を回避する。
一実施形態で、この命令は、新規スレッドコンテキストのどのレジスタが第2のオペランドを受け取るかを指定する、第3のオペランドを含む。一実施形態で、この命令は、ユーザモードコードによって実行可能であり、通常の場合に、オペレーティングシステムが関与してスレッドを作成する必要を有利になくす。新規スレッドコンテキスト割り振りおよび新規スレッドスケジューリングを実行する単一の命令を有することのもう1つの利点は、新規スレッドの作成およびスケジューリングに複数の命令を必要とする実装に対して、命令セット内の貴重なオペコードスペースが節約されることである。本発明の命令は、命令が実行された時に、空きスレッドコンテキストが割り振りに使用可能でない場合に、命令に対する例外を送出することによって、単一命令で両方の機能を実行することができる。
一態様で、本発明は、並行プログラムスレッドを実行するように構成されたマイクロプロセッサで実行される命令を提供する。この命令は、新規スレッドのためにリソースを割り振り、かつマイクロプロセッサで新規スレッドの実行をスケジューリングするように、マイクロプロセッサに指示するオペコードを含む。リソースは、プログラムカウンタおよびレジスタセットを含む。この命令は、新規スレッドのために割り振られたプログラムカウンタに保管される最初の命令フェッチアドレスを指定するために、第1のオペランドをも含む。この命令は、新規スレッドのために割り振られたレジスタセットのレジスタに保管するために、第2のオペランドをも含む。
もう1つの態様で、本発明は、マルチスレッド化されたマイクロプロセッサを提供する。このマイクロプロセッサは、複数のスレッドコンテキストを含み、それぞれスレッドコンテキストが、スレッドの状態を保管し、かつスレッドコンテキストが割り振りに使用可能であるかどうかを示すように構成される。このマイクロプロセッサは、現在実行中のスレッドの単一の命令に応答して、新規スレッドに複数のスレッドコンテキストのうちの1つを割り振り、かつ実行のために新規スレッドをスケジューリングするために、複数のスレッドコンテキストに結合された、スケジューラをも含む。このマイクロプロセッサは、複数のスレッドコンテキストのどれもが割り振りに使用可能でない場合に、単一の命令に対する例外を行う。
もう1つの態様で、本発明は、マルチスレッド化されたマイクロプロセッサを提供する。このマイクロプロセッサは、第1のプログラムスレッド内に命令のフェッチアドレスを保管するために、第1のプログラムカウンタを含む。このマイクロプロセッサは、それぞれ第1および第2のオペランドを保管するために、命令によって指定される第1および第2のレジスタを含む、第1のレジスタセットをも含む。第1のオペランドは、第2のプログラムスレッドのフェッチアドレスを指定する。このマイクロプロセッサは、命令に応答して第1のレジスタから第1のオペランドを受け取るために、第1のレジスタセットに結合された、第2のプログラムカウンタをも含む。このマイクロプロセッサは、命令に応答して第2のレジスタから第2のオペランドを受け取るために第3のレジスタを含む、第1のレジスタセットに結合された、第2のレジスタセットをも含む。このマイクロプロセッサは、マイクロプロセッサに、命令に応答して第2のプログラムカウンタに保管された第2のプログラムスレッドの最初のフェッチアドレスから命令をフェッチさせかつ実行させるために、第1および第2のレジスタセットに結合された、スケジューラをも含む。
もう1つの態様で、本発明は、マルチスレッド化されたマイクロプロセッサで新規の実行のスレッドを作成する方法を提供する。この方法は、第1のプログラムスレッドで実行する単一の命令をデコードすることと、命令のデコードに応答して、マイクロプロセッサのプログラムカウンタおよびレジスタセットを第2のプログラムスレッドのために割り振ることを含む。この方法は、第2のプログラムスレッドのためのプログラムカウンタおよびレジスタセットの割り振りに応答して、レジスタセットのレジスタに命令の第1のオペランドを保管することを含む。この方法は、第2のプログラムスレッドのためのプログラムカウンタおよびレジスタセットの割り振りに応答して、プログラムカウンタに命令の第2のオペランドを保管することを含む。この方法は、第1および第2のオペランドを保管した後に、マイクロプロセッサでの実行のために第2のプログラムスレッドをスケジューリングすることをも含む。
もう1つの態様で、本発明は、マルチスレッド化された処理システムを提供する。このシステムは、第1のスレッドのfork命令およびデータ構造を保管するように構成されたメモリを含む。fork命令は、データ構造のメモリアドレスを保管するレジスタおよび第2のスレッドの最初の命令アドレスを指定する。このデータ構造は、第2のスレッドの最初の汎用レジスタ値を含む。このシステムは、メモリに結合されたマイクロプロセッサをも含む。このマイクロプロセッサは、fork命令に応答して、第2のスレッドに空きスレッドコンテキストを割り振り、第2のスレッドの最初の命令アドレスをスレッドコンテキストのプログラムカウンタに保管し、データ構造メモリアドレスをスレッドコンテキストのレジスタに保管し、実行のために第2のスレッドをスケジューリングする。
もう1つの態様で、本発明は、コンピューティングデバイスと共に使用されるコンピュータプログラム製品を提供する。このコンピュータプログラム製品は、コンピュータ使用可能媒体を含み、このコンピュータ使用可能媒体は、マルチスレッド化されたマイクロプロセッサをもたらす、コンピュータ使用可能媒体で実施されたコンピュータ可読プログラムコードを含む。このコンピュータ可読プログラムコードは、第1のプログラムスレッドに命令のフェッチアドレスを保管するために、第1のプログラムカウンタを提供する第1のプログラムコードを含む。このコンピュータ可読プログラムコードは、それぞれ第1および第2のオペランドを保管するために、命令によって指定される第1および第2のレジスタを含む第1のレジスタセットを提供する第2のプログラムコードをも含む。第1のオペランドは、第2のプログラムスレッドのフェッチアドレスを指定する。このコンピュータ可読プログラムコードは、命令に応答して第1のレジスタから第1のオペランドを受け取るために、第1のレジスタセットに結合された、第2のプログラムカウンタを提供する第3のプログラムコードをも含む。このコンピュータ可読プログラムコードは、命令に応答して第2のレジスタから第2のオペランドを受け取るために、第3のレジスタを含む、第1のレジスタセットに結合された、第2のレジスタセットを提供する第4のプログラムコードをも含む。このコンピュータ可読プログラムコードは、命令に応答して、マイクロプロセッサに、第2のプログラムカウンタに保管された第2のプログラムスレッドの最初のフェッチアドレスから命令をフェッチさせかつ実行させるために、第1および第2のレジスタセットに結合された、スケジューラを提供する第5のプログラムコードをも含む。
もう1つの態様で、本発明は、fork命令を実行するマルチスレッド化されたマイクロプロセッサを提供するコンピュータ可読プログラムコードを含む、伝送媒体内で実施されるコンピュータデータ信号を提供する。このプログラムコードは、新規スレッドのためにリソースを割り振り、かつマイクロプロセッサで新規スレッドの実行をスケジューリングするようにマイクロプロセッサに指示するために、オペコードを提供する第1のプログラムコードを含む。リソースに、プログラムカウンタおよびレジスタセットが含まれる。このプログラムコードは、新規スレッドのために割り振られたプログラムカウンタに保管される最初の命令フェッチアドレスを指定するために、第1のオペランドを提供する第2のプログラムコードをも含む。このプログラムコードは、新規スレッドのために割り振られたレジスタセットのレジスタに保管するために、第2のオペランドを提供する第3のプログラムコードをも含む。
図1を参照すると、本発明によるコンピュータシステム100を示すブロック図が示されている。コンピュータシステム100は、システムインターフェースコントローラ104に結合されたマルチスレッド化されたマイクロプロセッサ102を含む。システムインターフェースコントローラは、システムメモリ108および複数の入出力(I/O)デバイス106に結合される。I/Oデバイス106のそれぞれは、マイクロプロセッサ102に割込み要求ライン112を提供する。コンピュータシステム100は、汎用プログラマブルコンピュータシステム、サーバコンピュータ、ワークステーションコンピュータ、パーソナルコンピュータ、ノートブックコンピュータ、携帯情報端末(PDA)、または、ネットワークルータ、もしくはネットワークスイッチ、プリンタ、マスストレージコントローラ、カメラ、スキャナ、自動車コントローラ、および類似物を含むがこれらに制限されない組込みシステムとすることができるが、これらに制限はされない。
システムメモリ108は、マイクロプロセッサ102での実行のためにプログラム命令を保管し、プログラム命令に従ってマイクロプロセッサ102によって処理されるデータを保管する、RAMメモリおよびROMメモリなどのメモリを含む。プログラム命令は、マイクロプロセッサ102が並行して実行する複数のプログラムスレッドを含むことができる。プログラムスレッドまたはスレッドは、実行されるプログラム命令のシーケンスまたはストリームと、命令のシーケンスの実行に関連するマイクロプロセッサ102での状態変化の関連するシーケンスとを含む。命令のシーケンスは、必ずではないが通常、分岐命令など、1つまたは複数のプログラム制御命令を含む。その結果、命令は、連続するメモリアドレスを有する場合とそうでない場合がある。1つのスレッドを含む命令のシーケンスは、単一のプログラムからのものである。具体的に言うと、マイクロプロセッサ102は、下で詳細に説明するように、新規プログラムスレッドを作成するため、すなわち、スレッドを実行するために必要なマイクロプロセッサ102のリソースを割り振り、かつマイクロプロセッサ102での実行のためにスレッドをスケジューリングするために、FORK命令を実行するように構成される。
システムインターフェースコントローラ104は、マイクロプロセッサ102をシステムインターフェースコントローラ104に結合するプロセッサバスを介して、マイクロプロセッサ102とインターフェースする。一実施形態で、システムインターフェースコントローラ104は、システムメモリ108を制御するメモリコントローラを含む。一実施形態で、システムインターフェースコントローラ104は、I/Oデバイス106が結合される、たとえばPCIバスなどのローカルバスを提供するローカルバスインターフェースコントローラを含む。 I/Oデバイス106は、キーボード、マウス、スキャナ、および類似物などのユーザ入力デバイスと、モニタ、プリンタ、および類似物などのディスプレイデバイスと、ディスクドライブ、テープドライブ、光ドライブ、および類似物などのストレージデバイスと、ダイレクトメモリアクセスコントローラ(DMAC)、クロック、タイマ、I/Oポート、および類似物などのシステム周辺デバイスと、Ethernet(登録商標)、FibreChannel、Infiniband、または他の高速ネットワークインターフェース用のメディアアクセスコントローラ(MAC)などのネットワークデバイスと、アナログ−ディジタル(A/D)変換器およびディジタル−アナログ変換器などのデータ変換デバイスなどとを含むことができるが、これらに制限はされない。I/Oデバイス106は、サービスを要求するためにマイクロプロセッサ102への割込み信号112を生成する。有利なことに、マイクロプロセッサ102は、マイクロプロセッサ102の状態保存に関連する従来のオーバーヘッドを必要とせずに、割込み要求ライン112で示されたイベントを処理する複数のプログラムスレッドを並行に実行し、制御を割込みサービスルーチンに転送し、かつ割込みサービスルーチンの完了時に状態を復元することができる。
一実施形態で、コンピュータシステム100は、複数のマルチスレッド化されたマイクロプロセッサ102を含むマルチプロセッシングシステムを含む。一実施形態で、各マイクロプロセッサ102は、2つの別個であるが相互に排他的なマルチスレッディング機能を提供する。第1に、各マイクロプロセッサ102は、マイクロプロセッサ102内のリソースの共用を介して、本明細書で仮想処理要素(VPE)と称する、オペレーティングシステムからはそれぞれが独立の処理要素に見える、複数の論理プロセッサコンテキストを含む。オペレーティングシステムにとって、N個のVPEマイクロプロセッサ102は、Nウェイ対称マルチプロセッサ(SMP)に見え、これによって、既存のSMP対応オペレーティングシステムが、複数のVPEを管理できるようになる。第2に、各VPEは、複数のスレッドを同時に実行するための複数のスレッドコンテキストを含むことができる。その結果、マイクロプロセッサ102は、通常の場合に、オペレーティングシステム介入なしでスレッドを作成できかつ破棄でき、外部条件(たとえば、入出力サービスイベント信号)に応答して、0の割込み待ち時間でシステムサービススレッドをスケジューリングできる、マルチスレッド化されたプログラミングモデルをも提供する。
図2を参照すると、本発明による図1のコンピュータシステム100のマルチスレッド化されたマイクロプロセッサ102を示すブロック図が示されている。マイクロプロセッサ102は、複数のパイプラインステージを含むパイプライン化されたマイクロプロセッサである。マイクロプロセッサ102は、複数のスレッドに関連する状態を保管する複数のスレッドコンテキスト228を含む。スレッドコンテキスト228は、スレッドの実行の状態を記述する、マイクロプロセッサ102のレジスタおよび/またはレジスタ内のビットの集合を含む。一実施形態で、スレッドコンテキスト228は、レジスタセット224(汎用レジスタ(GPR)のセットなど)、プログラムカウンタ(PC)222、およびスレッドごとの制御レジスタ226を含む。スレッドごとの制御レジスタ226の諸部分の内容を、下で詳細に説明する。図2の実施形態には、それぞれが、プログラムカウンタ222、レジスタセット224、およびスレッドごとの制御レジスタ226を含む、4つのスレッドコンテキスト228が示されている。一実施形態で、スレッドコンテキスト228は、乗算器結果レジスタをも含む。一実施形態で、レジスタセット224のそれぞれが、単一クロックサイクル中のレジスタセット224内の2つのレジスタのそれぞれからの読み取り、および1つのレジスタへの書き込みをサポートするために、2つの読み取りポートおよび1つの書き込みポートを有する。下で説明するように、FORK命令300は、2つのソースオペランドおよび1つの宛先オペランドを含む。その結果、マイクロプロセッサ102は、単一クロックサイクルでFORK命令300を実行することができる。
スレッドコンテキスト228と対照的に、マイクロプロセッサ102は、またプロセッサコンテキストを維持し、このプロセッサコンテキストは、マイクロプロセッサ102の状態のより大きい集合である。図2の実施形態では、プロセッサコンテキストが、プロセッサごとの制御レジスタ218に保管される。各VPEは、プロセッサごとの制御レジスタ218のそれ自体の組が含まれる。一実施形態で、プロセッサごとの制御レジスタ218のうちの1つに、例外信号234によって送出された最も最近にディスパッチされたスレッド例外を指定するフィールドを有する状況レジスタが含まれる。具体的に言うと、VPEが、現在のスレッドのFORK命令300を発行するが、新規スレッドに割り振られる、空いている割り振り可能なスレッドコンテキスト228がない場合に、例外フィールドが、スレッドオーバーフロー状態を示す。一実施形態で、マイクロプロセッサ102は、MIPS32またはMIPS64の命令セットアーキテクチャ(ISA)に実質的に準拠し、プロセッサごとの制御レジスタ218は、仮想メモリ、キャッシュ、例外、およびユーザコンテキストなどのマイクロプロセッサ102のリソースをオペレーティングシステムが管理するのに必要な機構など、MIPS Privileged Resource Architecture(PRA)のプロセッサコンテキストを保管するレジスタに実質的に準拠する。
マイクロプロセッサ102は、マイクロプロセッサ102によって並行に実行されるさまざまなスレッドの実行をスケジューリングするスケジューラ216が含まれる。スケジューラ216は、スレッドごとの制御レジスタ226およびプロセッサごとの制御レジスタ218に結合される。具体的に言うと、スケジューラ216は、下で説明するように、さまざまなスレッドのプログラムカウンタ222からの命令のフェッチをスケジューリングし、マイクロプロセッサ102の実行ユニットへのフェッチされた命令の発行をスケジューリングする責任を負う。スケジューラ216は、マイクロプロセッサ102のスケジューリングポリシに基づいてスレッドの実行をスケジューリングする。スケジューリングポリシは、次のスケジューリングポリシのどれであっても含むことができるが、これらに制限はされない。一実施形態で、スケジューラ216は、各準備のできているスレッドに、回転する順序で所定の個数のクロックサイクルまたは命令発行スロットを割り振る、ラウンドロビン、時分割多重、またはインターリーブ式のスケジューリングポリシを使用する。ラウンドロビンポリシは、公平さが重要であり、リアルタイムアプリケーションプログラムスレッドなど、最小量のサービスがあるスレッドについて必要である応用例に有用である。一実施形態で、スケジューラ216は、ブロッキングスケジューリングポリシを使用し、この場合に、スケジューラ216は、キャッシュミス、分岐誤予測、データ依存性、または長い待ち時間の命令など、スレッドのそれ以上の進行をブロックするイベントが発生するまで、現在実行されているスレッドのフェッチおよび発行のスケジューリングを続ける。一実施形態で、マイクロプロセッサ102に、スーパースカラパイプライン化マイクロプロセッサが含まれ、スケジューラ216は、一般に同時マルチスレッディングと称する、クロックサイクルごとに複数の命令の発行、具体的には、クロックサイクルごとに複数のスレッドからの命令の発行をスケジューリングする。
マイクロプロセッサ102は、図3のFORK命令300など、図1のシステムメモリ108からフェッチされたプログラム命令をキャッシングする命令キャッシュ202を含む。一実施形態で、マイクロプロセッサ102は、仮想メモリ機能を提供し、フェッチユニット204は、物理メモリページから仮想メモリページへの変換をキャッシングする変換ルックアサイドバッファを含む。一実施形態で、マイクロプロセッサ102で実行されるプログラムまたはタスクのそれぞれは、一意のタスクIDまたはアドレス空間ID(ASID)が割り当てられ、これは、メモリアクセス、具体的にはメモリアドレス変換に使用され、スレッドコンテキスト228も、そのスレッドに関連するASID用のストレージを含む。一実施形態で、親スレッドが、新規スレッドを作成するためにFORK命令300を実行する時に、新規スレッドは、親スレッドのASIDおよびアドレス空間を継承する。一実施形態で、マイクロプロセッサ102で実行されるさまざまなスレッドが、命令キャッシュ202および変換ルックアサイドバッファを共用する。もう1つの実施形態で、各スレッドは、それ自体の変換ルックアサイドバッファが含まれる。
マイクロプロセッサ102は、命令キャッシュ202およびシステムメモリ108からFORK命令300などのプログラム命令をフェッチするために、命令キャッシュ202に結合されたフェッチユニット204をも含む。フェッチユニット204は、マルチプレクサ244によって供給される命令フェッチアドレスの命令をフェッチする。マルチプレクサ244は、対応する複数のプログラムカウンタ222から複数の命令フェッチアドレスを受け取る。プログラムカウンタ222のそれぞれは、異なるプログラムスレッドの現在の命令フェッチアドレスを保管する。図2の実施形態は、4つの異なるスレッドに関連する4つの異なるプログラムカウンタ222を示る。マルチプレクサ244は、スケジューラ216によって供給される選択入力に基づいて、4つのプログラムカウンタ222のうちの1つを選択する。一実施形態で、マイクロプロセッサ102で実行されるさまざまなスレッドが、フェッチユニット204を共用する。
マイクロプロセッサ102は、FORK命令300など、フェッチユニット204によってフェッチされたプログラム命令をデコードするために、フェッチユニット204に結合された、デコードユニット206をも含む。デコードユニット206は、命令のオペコード、オペランド、および他のフィールドをデコードする。一実施形態で、マイクロプロセッサ102で実行されるさまざまなスレッドが、デコードユニット206を共用する。
マイクロプロセッサ102は、命令を実行する実行ユニット212をも含む。実行ユニット112は、整数算術、ブール演算、シフト演算、ローテート演算、および類似物を実行する1つまたは複数の整数ユニットと、浮動小数点演算を実行する浮動小数点ユニットと、メモリアクセス、具体的には実行ユニット212に結合されたデータキャッシュ242へのアクセスを実行するロード/ストアユニットと、分岐命令の結果およびターゲットアドレスを解決する分岐解決ユニットとを含むことができるが、これらに制限はされない。一実施形態で、データキャッシュ242は、物理メモリページから仮想メモリページへの変換をキャッシングする変換ルックアサイドバッファを含む。データキャッシュ242から受け取られるオペランドの他に、実行ユニット212は、レジスタセット224のレジスタからもオペランドを受け取る。具体的に言うと、実行ユニット212は、命令が属するスレッドに割り振られたスレッドコンテキスト228のレジスタセット224からオペランドを受け取る。マルチプレクサ248は、実行ユニット212によって実行される命令のスレッドコンテキスト228に基づいて、実行ユニット212に供給される適当なレジスタセット224からオペランドを選択する。一実施形態で、さまざまな実行ユニット212が、複数の並行スレッドからの命令を並行に実行することができる。
実行ユニット212のうちの1つが、FORK命令300を実行する責任を負い、FORK命令300を発行されたことに応答して、スケジューラ216に供給されるnew_thread_request信号232で真の値を生成する。new_thread_request信号232は、スケジューラ216に、新規スレッドコンテキスト228を割り振り、かつその新規スレッドコンテキスト228に関連する新規スレッドの実行をスケジューリングするように要求する。下で詳細に説明するように、新規スレッドコンテキスト228が、割り振りについて要求されたが、使用可能な空いている割り振り可能なスレッドがない場合に、スケジューラ216は、例外信号234で真の値を生成して、FORK命令300に対する例外を送出する。一実施形態で、スケジューラ216は、空いている割り振り可能なスレッドコンテキスト228の個数のカウントを維持し、new_thread_request 232が作られた時に、その個数が0未満である場合に、スケジューラ216は、FORK命令300に対する例外234を送出する。もう1つの実施形態では、スケジューラ216は、new_thread_request 232が作られた時に、スレッドごとの制御レジスタ226内の状況ビットを検査して、空いている割り振り可能なスレッドコンテキスト228が使用可能であるかどうかを判定する。
マイクロプロセッサ102は、スケジューラ216による指示に従い、かつデコードユニット206によってデコードされた命令に関する情報に応答して、実行ユニット212に命令を発行するために、スケジューラ216に結合され、かつデコードユニット206と実行ユニット212との間に結合された、命令発行ユニット208をも含む。具体的に言うと、命令発行ユニット208は、命令が、前に実行ユニット212に発行された他の命令に対するデータ依存性を有する場合に、それらの命令が、実行ユニット212に発行されないことを保証する。一実施形態で、命令キューが、実行ユニット212の枯渇の可能性を下げるために、実行ユニット212への発行を待っている命令をバッファリングするために、デコードユニット206と命令発行ユニット208との間に置かれる。一実施形態で、マイクロプロセッサ102で実行されるさまざまなスレッドが、命令発行ユニット208を共用する。
マイクロプロセッサ102は、完了した命令の結果をレジスタセット224にライトバックするために、実行ユニット212に結合されたライトバックユニット214をも含む。デマルチプレクサ246は、ライトバックユニット214から命令結果を受け取り、その命令結果を、完了した命令のスレッドに関連する適当なレジスタセット224に保管する。
図3を参照すると、本発明による図2のマイクロプロセッサ102によって実行されるFORK命令300を示すブロック図が示されている。FORK命令300のニーモニックは、図示のようにfork rd,rs,rtであり、ここで、rd、rs、およびrtは、FORK命令300の3つのオペランドである。図3に、FORK命令300のさまざまなフィールドが示されている。ビット26〜31は、オペコードフィールド302であり、ビット0〜5は、機能フィールド314である。一実施形態で、オペコードフィールド302は、この命令が、MIPS ISA内のSPECIAL3命令であることを示し、機能フィールド314は、その機能がFORK命令であることを示す。したがって、図2のデコードユニット206は、オペコードフィールド302および機能フィールド314を検査して、命令がFORK命令300であることを判定する。ビット6〜10は、0として予約済みである。
ビット21〜25、16〜20、および11〜15は、それぞれrsフィールド304、rtフィールド306、およびrdフィールド308であり、これらは、それぞれ、図2のレジスタセット224のうちの1つの、rsレジスタ324、rtレジスタ326、およびrdレジスタ328を指定する。一実施形態で、rsレジスタ324、rtレジスタ326、およびrdレジスタ328のそれぞれが、MIPS ISAの32個の汎用レジスタのうちの1つである。rsレジスタ324およびrtレジスタ326は、それぞれ、親スレッド、forkするスレッド、または現在のスレッドと称する、FORK命令300が含まれるスレッドに割り振られた、レジスタセット224内のレジスタの1つである。rdレジスタ328は、新規スレッドまたは子スレッドと称する、FORK命令300が作成するスレッドに割り振られた、レジスタセット224のレジスタのうちの1つである。
図3からわかるように、FORK命令300は、親スレッドのrsレジスタ324からの値を新規スレッドのプログラムカウンタ222にコピーするように、マイクロプロセッサ102に指示する。新規スレッドのプログラムカウンタ222は、新規スレッドの最初の命令フェッチアドレスとして使用される。
さらに、FORK命令300は、親スレッドのrtレジスタ326からの値を新規スレッドのrdレジスタ328にコピーするように、マイクロプロセッサ102に指示する。通常のプログラム動作では、プログラムは、新規スレッドのデータ構造のメモリアドレスとしてrdレジスタ328値を使用する。これによって、FORK命令300が、親スレッドのレジスタセット224全体の内容を新規スレッドのレジスタセット224にコピーすることなしで済ませることが可能になり、これによって、FORK命令300が、有利なことに、より軽量で効率的になり、単一プロセッサクロックサイクル内で実行可能になる。その代わりに、新規スレッドに、データ構造からレジスタ値をロードすることによって、新規スレッドが必要とするレジスタだけを投入する命令が含まれ、このデータ構造は、データキャッシュ242内に存在する高い確率を有する。多くの新規スレッドが、通常、MIPS ISAの32個の汎用レジスタなど、多数の現在のマイクロプロセッサで通常見出される多数のレジスタではなく、1個と5個との間のレジスタだけに投入することを必要とすることが判定されたので、これは有利である。単一クロックサイクルでレジスタセット224全体をコピーすることは、マイクロプロセッサ102内のさまざまなスレッドコンテキスト228のそれぞれ間の非現実的に幅広いデータパスを必要とし、レジスタセット224全体を順次(すなわち、クロックサイクルごとに1つまたは2つのレジスタを)コピーすることは、はるかに時間がかかり、マイクロプロセッサ102のより高い複雑さを必要とする。しかし、FORK命令300は、RISC様の単一クロックサイクルで有利に実行される。
有利なことに、マイクロプロセッサ102で実行されるオペレーティングシステムソフトウェアが、FORK命令300を使用して、新規スレッドのためにリソースを割り振り、かつ新規スレッドの実行をスケジューリングできるだけではなく、ユーザレベルスレッドも、それを行うことができる。この事実は、比較的短いスレッドを比較的頻繁に作成し、かつ終了させる可能性があるプログラムに特に有利である。たとえば、短いループ本体を有する多数のループを含み、反復の間にデータ依存性がないプログラムは、FORK命令300の小さいスレッド作成オーバーヘッドから利益を得ることができる。次のコードループがあると仮定する。
for(i = 0; i<N; i++) {
result[i] = FUNCTION(x[i],y[i]);

スレッド作成および破棄のオーバーヘッドが小さいほど、FUNCTION命令シーケンスを小さくすることができ、なおかつ複数のスレッドに有用に並列化することができる。新規スレッドの作成および破棄に関連するオーバーヘッドが、従来のスレッド作成機構の場合のように、100命令程度である場合には、このループを複数のスレッドに並列化することからの利益があるとしても、それを得るために、FUNCTIONの長さは、多数の命令でなければならない。しかし、FORK命令300のオーバーヘッドが非常に小さく、一実施形態では単一クロックサイクルだけであるという事実は、すべての非常に短いコード領域でも、複数のスレッドに有益に並列化できることを有利に暗示する。
図3に、親スレッドコンテキスト228から新規スレッドコンテキスト228への、rtレジスタ326値およびrsレジスタ324値のコピーだけが示されているが、図4に関して下で説明するように、他の状態またはコンテキストを、FORK命令300に応答してコピーすることもできる。
図4を参照すると、本発明による、図2のスレッドごとの制御レジスタ226のうちの1つである、TCStatusレジスタ400を示すブロック図が示されている。すなわち、各スレッドコンテキスト228は、TCStatusレジスタ400を含む。TCStatusレジスタ400のさまざまなフィールドが、図4の表で説明されているが、FORK命令300に明確に関連する特定のフィールドを、これから詳細に説明する。
TCStatusレジスタ400は、TCUフィールド402を含む。一実施形態で、マイクロプロセッサ102は、MIPS ISAおよびPRAによる、別個のプロセッサコアおよび1つまたは複数のコプロセッサを含む。TCUフィールド402は、スレッドが特定のコプロセッサへのアクセスを有し、これに束縛されるかどうかを制御する。図4の実施形態では、TCUフィールド402は、4つまでのコプロセッサの制御を可能にする。一実施形態で、FORK命令300は、親スレッドのTCUフィールド402の値を、そのFORK命令300によって作成される新規スレッドのTCUフィールド402にコピーするように、マイクロプロセッサ102に指示する。
TCStatusレジスタ400は、DTビット406をも含み、これは、スレッドコンテキスト228がダーティであるか否かを示す。DTビット406は、異なるプログラムの間のセキュリティを保証するために、オペレーティングシステムによって使用することができる。たとえば、複数のスレッドコンテキスト228が、異なるセキュリティドメイン内で、すなわち複数のアプリケーションによって、またはオペレーティングシステムとアプリケーションプログラムの両方によって、同時に、FORK命令300を使用して動的に割り振られ、かつマイクロプロセッサ102のYIELD命令を使用して割り振り解除される場合に、アプリケーションによって継承されるレジスタ値の形での情報漏れの危険性が存在し、これは、セキュアオペレーティングシステムによって管理されなければならない。各スレッドコンテキスト228に関連するDTビット406は、ソフトウェアによってクリアすることができ、スレッドコンテキスト228が変更された時に必ず、マイクロプロセッサ102によってセットされる。オペレーティングシステムは、タスクをスケジューリングする前に、すべてのスレッドコンテキスト228を既知のクリーンな状態に初期化し、すべての関連するDTビット406をクリアすることができる。タスク切り替えが発生する時に、そのDTビット406をセットされているスレッドコンテキスト228は、他のタスクがそれらを割り振り、かつ使用することを許可される前に、クリーンな状態にスクラブされなければならない。セキュアオペレーティングシステムが、特権サービススレッドのために動的にスレッド作成および割り振りを利用したい場合には、関連するスレッドコンテキスト228は、アプリケーションによる潜在的な使用のために解放される前に、スクラブされなければならない。本明細書の始めで参照された、YIELD命令を詳細に説明した、同時係属であり同時に出願された米国特許出願、名称「INTEGRATED MECHANISM FOR SUSPENSION AND DEALLOCATION OF COMPUTATIONAL THREADS OF EXECUTION IN A PROCESSOR」(弁理士整理番号MIPS.0189−01 US)を参照されたい。
TCStatusレジスタ400は、スレッドコンテキスト228が、FORK命令300によって動的に割り振り可能かつスケジュール可能であり、YIELD命令によって動的に割り振り解除可能であるか否かを示す、DA状況ビット412をも含む。一実施形態で、スレッドコンテキスト228の一部が、FORK命令300によって動的割り振り可能であり、スレッドコンテキスト228の一部が、FORK命令300によって動的割り振り可能でないが、その代わりに、スレッドコンテキスト228が、プログラムの永久スレッドに静的に割り当てられる。たとえば、1つまたは複数のスレッドコンテキスト228を、FORK命令300によって動的に割り振るのではなく、オペレーティングシステムの一部に静的に割り当てることができる。もう1つの例で、組込み応用例で、1つまたは複数のスレッドコンテキスト228が、特権サービススレッドに静的に割り当てられることができ、特権サービススレッドは、従来のプロセッサにおいて、その応用例の特に重要な部分であることがわかっている、割込み供給源をサービスする割込みサービスルーチンに似た形で機能する。たとえば、ネットワークルータ内で、1つまたは複数のスレッドコンテキスト228が、I/Oポートの組によって信号が伝えられるイベントを処理するスレッドに静的に割り当てられることができ、それは、本明細書に記載のマイクロプロセッサ102の単一サイクルスレッド切り替えによって、効率的に処理されることができるイベントを極度に大量に生成する可能性があるが、極端に大量の割込みとそれに関連する状態保存および割込みサービスルーチンへの制御の転送とに関連するオーバーヘッドをこうむらなければならない、別のマイクロプロセッサを圧倒する可能性がある。
一実施形態で、DAビット412は、オペレーティングシステムによって使用されて、アプリケーションプログラムの間でのスレッドコンテキスト228の共用を処理することができる。たとえば、FORK命令300が、割り振りに関して空いているスレッドコンテキスト228がない時に、スレッドコンテキスト228の割り振りを試みる場合があり、その場合に、マイクロプロセッサ102は、そのFORK命令300に対するスレッドオーバーフロー例外234を送出する。それに応答して、オペレーティングシステムは、現在の値のコピーを保存し、その後、すべてのスレッドコンテキスト228のDAビット412をクリアすることができる。次にスレッドコンテキスト228が、アプリケーションプログラムによって割り振り解除される時に、スレッドアンダーフロー例外234が送出され、これに応答して、オペレーティングシステムは、スレッドオーバーフロー例外に応答して保存されたDAビット412を復元し、最初のスレッドオーバーフロー例外を生成したFORK命令300のやり直しをスケジューリングすることができる。
TCStatusレジスタ400は、スレッドコンテキスト228に関連するスレッドが、アクティブ化された状態であるかどうかを示す、Aビット414をも含む。スレッドがアクティブ化された状態である時には、スケジューラ216は、スケジューラ216のスケジューリングポリシに従って、そのプログラムカウンタ222から命令をフェッチしかつ発行するようにスケジューリングされる。スケジューラ216は、FORK命令300が、スレッドコンテキスト228を動的に割り振る時に、Aビット414を自動的にセットし、かつYIELD命令が、スレッドコンテキスト228を動的に割り振り解除する時に、Aビット414を自動的にクリアする。一実施形態で、マイクロプロセッサ102がリセットされた時に、スレッドコンテキスト228のうちの1つが、マイクロプロセッサ102の初期化スレッドを実行するために、リセットスレッドコンテキスト228として指定される。リセットスレッドコンテキスト228のAビット414は、マイクロプロセッサ102のリセットに応答して自動的にセットされる。
TCStatusレジスタ400は、スレッドコンテキスト228の特権状態または特権レベルを示す、TKSUフィールド416をも含む。一実施形態で、特権は、カーネル、スーパーバイザ、およびユーザという3つのレベルのうちの1つとすることができる。一実施形態で、FORK命令300は、親スレッドのTKSUフィールド416の値を、そのFORK命令300によって作成される新規スレッドのTKSUフィールド416にコピーするように、マイクロプロセッサ102に指示する。
TCStatusレジスタ400は、スレッドコンテキスト228のアドレス空間ID(ASID)または一意のタスクIDを指定する、TASIDフィールド422をも含む。一実施形態で、FORK命令300は、親スレッドおよび新規スレッドが同一のアドレス空間を共用するように、親スレッドのTASIDフィールド422の値を、そのFORK命令300によって作成される新規スレッドのTASIDフィールド422にコピーするように、マイクロプロセッサ102に指示する。
一実施形態で、スレッドごとの制御レジスタ226は、停止された(halted)ビットをセットすることによって、ソフトウェアがスレッドを停止させるすなわち、スレッドコンテキスト228を停止された状態にすることを可能にするために、停止されたビットを保管するレジスタをも含む。
図5を参照すると、本発明による図3のFORK命令300を実行する、図2のマイクロプロセッサ102の動作を示す流れ図が示されている。流れは、ブロック502で開始される。
ブロック502では、フェッチユニット204が、現在のスレッドのプログラムカウンタ222を使用してFORK命令300をフェッチし、デコードユニット206が、そのFORK命令300をデコードし、命令発行ユニット208が、図2の実行ユニット212にそのFORK命令300を発行する。流れは、ブロック504に進む。
ブロック504では、実行ユニット212が、new_thread_request信号232を介して、FORK命令300が、新規スレッドコンテキスト228の割り振りおよびスケジューリングを要求していることを示す。流れは、判断ブロック506に進む。
判断ブロック506では、スケジューラ216が、スレッドコンテキスト228が割り振りのために空いているかどうかを判定する。一実施形態で、スケジューラ216は、空いている割り振り可能なスレッドコンテキスト228の個数を示すカウンタを維持し、このカウンタは、YIELD命令が、スレッドコンテキスト228を割り振り解除するたびに増分され、かつFORK命令300が、スレッドコンテキスト228を割り振るたびに減分される。スケジューラ216は、そのカウンタ値が0以上であるかどうかを判定することによって、スレッドコンテキスト228が、割り振りのために空いているかどうかを判定する。もう1つの実施形態で、スケジューラ216は、図4のTCStatusレジスタ400のDAビット412およびAビット414、ならびに停止されたビットなどの、スレッドごとの制御レジスタ226内の状態ビットを検査して、スレッドコンテキスト228が割り振りのために空いているかどうかを判定する。スレッドコンテキスト228が、割り振りのために空いているのは、それが、アクティブ化された状態でも停止された状態でもなく、静的に割り当てられたスレッドコンテキスト228でもない場合である。スレッドコンテキスト228が、割り振りのために空いている場合には、流れはブロック508に進み、そうでない場合には、流れはブロック522に進む。
ブロック508では、スケジューラ216が、FORK命令300に応答して、空いている割り振り可能なスレッドコンテキスト228を新規スレッドのために割り振る。流れは、ブロック512に進む。
ブロック512では、図3に示されているように、親スレッドコンテキスト228のrsレジスタ324値を、新規スレッドコンテキスト228のプログラムカウンタ222にコピーし、親スレッドコンテキスト228のrtレジスタ326値を、新規スレッドコンテキスト228のrdレジスタ328にコピーし、図4に関して説明したように、FORK命令300に関連する他のコンテキストも、親スレッドコンテキスト228から新規スレッドコンテキスト228にコピーされる。流れは、ブロック514に進む。
ブロック514では、スケジューラ216が、実行のために新規スレッドコンテキスト228をスケジューリングする。すなわち、スケジューラ216は、現在実行の準備ができているスレッドコンテキスト228のリストに、スレッドコンテキスト228を追加し、フェッチユニット204が、スケジューリングポリシの制約に従う、スレッドコンテキスト228のプログラムカウンタ222からの命令のフェッチおよび実行を開始できるようにする。流れは、ブロック516に進む。
ブロック516では、フェッチユニット204が、新規スレッドコンテキスト228のプログラムカウンタ222にある命令のフェッチを開始する。流れは、ブロック518に進む。
ブロック518では、新規スレッドの命令が、必要なように新規スレッドコンテキスト228のレジスタセット224のレジスタに投入する。上で説明したように、通常、新規スレッドのプログラム命令は、rdレジスタ328値によって指定されるメモリ内のデータ構造からレジスタセット224に投入する。流れは、ブロック518で終了する。
ブロック522では、スケジューラ216が、FORK命令300に対するスレッドオーバーフロー例外234を送出して、FORK命令300が実行された時に、割り振り用に空いていたスレッドコンテキスト228がなかったことを示す。流れは、ブロック524に進む。
ブロック524では、オペレーティングシステムの例外ハンドラが、たとえば図4のDAビット412に関して上で説明したように、割り振り可能なスレッドコンテキスト228をFORK命令300のために解放できる状態を作成する。流れは、ブロック526に進む。
ブロック526では、オペレーティングシステムが、ブロック522で例外234を引き起こしたFORK命令300を再発行し、このFORK命令300は、たとえば図4のDAビット412に関して上で説明したように、空いている割り振り可能なスレッドコンテキスト228の可用性に起因して、今度は成功する。流れは、ブロック526で終了する。
本発明とその目的、特徴、および利点を、詳細に説明したが、他の実施形態が、本発明によって包含されている。たとえば、新規スレッドコンテキスト228が、親スレッドコンテキストと同一のVPEで割り振られる実施形態を説明したが、もう1つの実施形態では、空いている割り振り可能なスレッドコンテキストがそのVPEで使用可能でないことを、親VPEが検出した場合に、そのVPEは、別のVPEでのリモートFORK命令を試みる。具体的には、そのVPEは、別のVPEが空いている割り振り可能スレッドコンテキストを有し、親スレッドコンテキストと同一のアドレス空間を有するかどうかを判定し、そうである場合には、他方のVPEにFORK命令情報パケットを送って、他方のVPEが、空きスレッドコンテキストを割り振りかつスケジューリングすることを可能にする。さらに、本明細書で説明したFORK命令は、特定の待ち時間イベントを解決するために複数のスレッドを並行して実行するマイクロプロセッサでの使用に制限されるのではなく、キャッシュミス、誤予測された分岐、長い待ち時間の命令などでマルチスレッディングされるマイクロプロセッサで実行されることができる。さらに、本明細書で説明したFORK命令は、スカラマイクロプロセッサまたはスーパースカラマイクロプロセッサで実行することができる。さらに、本明細書で説明したFORK命令は、さまざまなスケジューリングポリシのいずれかを有するマイクロプロセッサで実行することができる。さらに、rt値が、新規スレッドコンテキストのレジスタにコピーされるFORK命令の実施形態を説明したが、rt値が、メモリを介するなど、他の手段を介して新規スレッドコンテキストに供給される他の実施形態が考えられる。最後に、FORK命令のオペランドが汎用レジスタに保管される実施形態を説明したが、他の実施形態では、オペランドを、メモリまたは非汎用レジスタを介するなど、他の手段を介して保管することができる。たとえば、マイクロプロセッサがレジスタベースプロセッサである実施形態を説明したが、プロセッサが、Java(登録商標)仮想マシンコードを効率的に実行するように構成されたプロセッサなど、スタックベースプロセッサである他の実施形態が考えられる。そのような実施形態では、FORK命令のオペランドを、レジスタではなくメモリ内のオペランドスタックで指定することができる。たとえば、各スレッドコンテキストに、スタックポインタレジスタを含めることができ、FORK命令のフィールドが、マイクロプロセッサのレジスタ空間内のレジスタを指定するのではなく、スタックポインタレジスタ値に対するスタックメモリ内のFORKオペランドのオフセットを指定することができる。
ハードウェアを使用する本発明の実装に加えて、本発明は、たとえばコンピュータ使用可能(たとえば可読)媒体に配置されたソフトウェア(たとえば、コンピュータ可読コード、プログラムコード、命令、および/またはデータ)で実施されることができる。そのようなソフトウェアは、本明細書で説明した装置および方法の機能、製造、モデル化、シミュレーション、記述、および/またはテストを可能にする。たとえば、これを、一般的なプログラミング言語(たとえば、C、C++、Java(登録商標)など)、GDSIIデータベース、Verilog HDL、VHDLなどを含むハードウェア記述言語(HDL)など、または他の使用可能なプログラム、データベース、および/もしくは回路(すなわち、概略)キャプチャツールの使用を介して達成することができる。そのようなソフトウェアは、半導体メモリ、磁気ディスク、光ディスク(たとえば、CD−ROM、DVD−ROMなど)を含むすべての知られているコンピュータ使用可能(たとえば可読)媒体に配置することができ、コンピュータ使用可能(たとえば可読)伝送媒体(たとえば、搬送波またはディジタル媒体、光媒体、もしくはアナログベースの媒体を含む他のすべての媒体)で実施されるコンピュータデータ信号として配置することができる。したがって、ソフトウェアを、インターネットおよびイントラネットを含む通信ネットワークを介して伝送することができる。本発明は、ソフトウェアで(たとえば、マイクロプロセッサコアなどの半導体知的所有権コアの一部として、またはシステムオンチップすなわちSOCなどのシステムレベル設計としてHDLで)実施され、集積回路製造の一部としてハードウェアに変換できることを理解されたい。また、本発明は、ハードウェアとソフトウェアの組み合わせとして実施されることができる。
最後に、当業者は、特許請求の範囲によって規定される本発明の趣旨および範囲から逸脱せずに、本発明と同一の目的を実行する他の構造を設計または変更する基礎として、開示された概念および特定の実施形態をたやすく使用できることを理解されたい。
本発明によるコンピュータシステムを示すブロック図である。 本発明による図1のコンピュータシステムのマルチスレッド化されたマイクロプロセッサを示すブロック図である。 本発明による図2のマイクロプロセッサによって実行されるFORK命令を示すブロック図である。 本発明による、図2のスレッドごとの制御レジスタのうちの1つである、TCStatusレジスタを示すブロック図である。 本発明による図3のFORK命令を実行する、図2のマイクロプロセッサの動作を示す流れ図である。

Claims (52)

  1. 並行プログラムスレッドを実行するように構成されたマイクロプロセッサで実行される命令であって、
    新規スレッドのためにリソースを割り振り、かつマイクロプロセッサ上での前記新規スレッドの実行をスケジューリングするように、マイクロプロセッサに指示するオペコードを含み、前記リソースが、プログラムカウンタおよびレジスタセットを含み、前記命令がさらに、
    前記新規スレッドのために割り振られた前記プログラムカウンタに保管される最初の命令フェッチアドレスを指定する第1のオペランドと、
    前記新規スレッドに対する準備のための第2のオペランドとを含む、命令。
  2. 前記第2のオペランドが、前記新規スレッドのために割り振られた前記レジスタセットのレジスタへの保管のために、前記新規スレッドに提供される、請求項1に記載の命令。
  3. マイクロプロセッサが命令を実行する時に、前記新規スレッドのための前記リソースが、割り振りに使用可能でない場合に、マイクロプロセッサが、命令に対する例外を送出する、請求項1に記載の命令。
  4. 前記第2のオペランドが保管される前記新規スレッドに関連する複数のストレージ位置のうちの1つを指定する第3のオペランドをさらに含む、請求項1に記載の命令。
  5. 前記レジスタセットが、複数の汎用レジスタを含み、前記第3のオペランドによって指定される前記複数のストレージ位置のうちの前記1つが、前記新規スレッドのために割り振られた前記レジスタセットの前記複数の汎用レジスタのうちの1つを含む、請求項4に記載の命令。
  6. 前記レジスタセットが、スタックメモリを指定するスタックポインタレジスタを含み、前記第3のオペランドによって指定される前記複数のストレージ位置のうちの前記1つが、前記スタックメモリ内のストレージ位置を含む、請求項4に記載の命令。
  7. 前記新規スレッドが最初に必要とする状態のサイズが、前記第2のオペランドのサイズを超え、マイクロプロセッサが、命令に応答して、命令を含むスレッドのレジスタセットから前記新規スレッドに割り振られた前記レジスタセットに自動的に前記状態をコピーするのではなく、命令の後の1つまたは複数の命令が、前記第2のオペランドに基づいて前記新規スレッドに割り振られる前記レジスタセットに前記状態をコピーするように実行される、請求項1に記載の命令。
  8. マイクロプロセッサが、命令に応答して、命令を含むスレッドのレジスタセットから前記新規スレッドに割り振られた前記レジスタセットに内容をコピーすることなしで済ませる、請求項1に記載の命令。
  9. 前記第2のオペランドが、前記新規スレッドによって使用されるデータのメモリ内のアドレスを指定する、請求項1に記載の命令。
  10. 命令が、マイクロプロセッサ内の単一の命令発行スロットを占める、請求項1に記載の命令。
  11. 命令が、ユーザ特権レベルでマイクロプロセッサによって実行可能である、請求項1に記載の命令。
  12. 並行プログラムスレッドを実行するように構成されたマイクロプロセッサで実行される命令であって、
    新規スレッドのためにリソースを割り振り、かつマイクロプロセッサ上での前記新規スレッドの実行をスケジューリングするように、マイクロプロセッサに指示するオペコードを含み、前記リソースが、プログラムカウンタおよびレジスタセットを含み、前記命令がさらに、
    前記新規スレッドのために割り振られた前記プログラムカウンタに保管される最初の命令フェッチアドレスを指定するオペランドを含み、
    マイクロプロセッサが命令を実行する時に、前記新規スレッドのための前記リソースが割り振りに使用可能でない場合に、マイクロプロセッサが、命令に対する例外を送出する、命令。
  13. 前記新規スレッドに提供される第2のオペランドをさらに含む、請求項12に記載の命令。
  14. 命令が、マイクロプロセッサ内の単一の命令発行スロットを占める、請求項12に記載の命令。
  15. 命令が、ユーザ特権レベルでマイクロプロセッサによって実行可能である、請求項12に記載の命令。
  16. マルチスレッド化されたマイクロプロセッサであって、
    複数のスレッドコンテキストを含み、スレッドコンテキストそれぞれが、スレッドの状態を保管し、かつ前記スレッドコンテキストが割り振りに使用可能であるかどうかを示すように構成され、前記マルチスレッド化されたマイクロプロセッサがさらに、
    前記複数のスレッドコンテキストに結合され、現在実行中のスレッドの単一の命令に応答して、新規スレッドに前記複数のスレッドコンテキストのうちの1つを割り振り、かつ実行のために前記新規スレッドをスケジューリングする、スケジューラを含み、
    前記複数のスレッドコンテキストのどれもが、割り振りに使用可能でない場合に、マルチスレッド化されたマイクロプロセッサが、前記単一の命令に対する例外を行う、マルチスレッド化されたマイクロプロセッサ。
  17. 前記複数のスレッドコンテキストのそれぞれが、プログラムカウンタを含む、請求項16に記載のマイクロプロセッサ。
  18. 前記単一の命令が、前記新規スレッドに割り振られた前記複数のスレッドコンテキストのうちの前記1つの前記プログラムカウンタに前記命令の第1のオペランドを保管するように、マイクロプロセッサを指示する、請求項17に記載のマイクロプロセッサ。
  19. 前記単一の命令が、前記命令の第2のオペランドを前記新規スレッドによってアクセス可能なストレージ位置に保管するように、マイクロプロセッサを指示する、請求項18に記載のマイクロプロセッサ。
  20. 前記複数のスレッドコンテキストのそれぞれが、複数の汎用レジスタを含み、前記単一の命令が、前記第2のオペランドを、前記新規スレッドに割り振られた前記複数のスレッドコンテキストのうちで前記1つの前記複数の汎用レジスタのうちの1つに保管するように、マイクロプロセッサを指示する、請求項19に記載のマイクロプロセッサ。
  21. 前記複数の汎用レジスタのうちの前記1つが、前記命令の第3のオペランドによって指定される、請求項20に記載のマイクロプロセッサ。
  22. 前記複数のスレッドコンテキストのそれぞれが、スタックメモリを指定するスタックポインタレジスタを含み、前記単一の命令が、前記第2のオペランドを前記スタックメモリ内の位置に保管するように、マイクロプロセッサを指示する、請求項19に記載のマイクロプロセッサ。
  23. 前記スタックメモリ内の前記位置が、前記命令の第3のオペランドによって指定される、請求項22に記載のマイクロプロセッサ。
  24. マイクロプロセッサは、前記命令が、前記複数のスレッドコンテキストのうちの1つを前記新規スレッドに割り振ることと、かつ前記現在実行中のスレッドがユーザ特権レベルで実行されつつある場合であっても、実行のために前記新規スレッドをスケジューリングすることとを可能にする、請求項16に記載のマイクロプロセッサ。
  25. 前記命令が、マイクロプロセッサ内の単一の命令発行スロットを占める、請求項16に記載のマイクロプロセッサ。
  26. 前記レジスタセットのそれぞれが、2つの読み取りポートおよび1つの書き込みポートを含む、請求項16に記載のマイクロプロセッサ。
  27. fork命令が、多くとも2つのソースレジスタオペランドおよび1つの宛先レジスタオペランドを指定する、請求項16に記載のマイクロプロセッサ。
  28. マルチスレッド化されたマイクロプロセッサであって、
    第1のプログラムスレッド内の命令のフェッチアドレスを保管する、第1のプログラムカウンタと、
    それぞれ第1および第2のオペランドを保管するために、前記命令によって指定される第1および第2のレジスタを含む、第1のレジスタセットとを含み、前記第1のオペランドが、第2のプログラムスレッドのフェッチアドレスを指定し、前記マルチスレッド化されたマイクロプロセッサがさらに、
    前記第1のレジスタセットに結合され、前記命令に応答して前記第1のレジスタから前記第1のオペランドを受け取る第2のプログラムカウンタと、
    前記第1のレジスタセットに結合され、前記命令に応答して前記第2のレジスタから前記第2のオペランドを受け取る第3レジスタを含む第2のレジスタセットと、
    前記第1および第2のレジスタセットに結合され、マイクロプロセッサに、前記命令に応答して、前記第2のプログラムカウンタに保管された前記第2のプログラムスレッドフェッチアドレスから命令をフェッチさせかつ実行させるスケジューラとを含む、マルチスレッド化されたマイクロプロセッサ。
  29. 前記スケジューラに結合され、前記命令に応答して、前記第2のプログラムカウンタおよびレジスタセットが、前記第1および第2のオペランドを受け取るのに使用可能でない場合に、マイクロプロセッサに、前記命令に対する例外を行わせる例外インジケータをさらに含む、請求項28に記載のマイクロプロセッサ。
  30. 前記スケジューラに結合され、前記命令に応答して、前記第2のプログラムカウンタおよびレジスタが、別のスレッドによって既に使用されている場合に、マイクロプロセッサに前記命令に対する例外を行わせる例外インジケータ
    をさらに含む、請求項28に記載のマイクロプロセッサ。
  31. 前記第3のレジスタが、前記命令によって指定される、請求項28に記載のマイクロプロセッサ。
  32. 前記第1および第2のレジスタセットが、汎用レジスタセットを含み、前記命令に応答して、前記第2の汎用レジスタセットが、前記第1の汎用レジスタセットから前記第2のオペランドだけを受け取る、請求項28に記載のマイクロプロセッサ。
  33. マルチスレッド化されたマイクロプロセッサで新規の実行のスレッドを作成する方法であって、
    第1のプログラムスレッドで実行される単一の命令をデコードすることと、
    前記デコードに応答して、マイクロプロセッサのプログラムカウンタおよびレジスタセットを第2のプログラムスレッドのために割り振ることと、
    前記割り振りに応答して、レジスタセットのレジスタに命令の第1のオペランドを保管することと、
    前記割り振りに応答して、プログラムカウンタに命令の第2のオペランドを保管することと、
    前記第1および第2のオペランドの前記保管後に、マイクロプロセッサでの実行のために第2のプログラムスレッドをスケジューリングすることとを含む、方法。
  34. 前記デコードに応答して、プログラムカウンタおよびレジスタセットが、割り振りに使用可能であるかどうかを判定することをさらに含む、請求項33に記載の方法。
  35. プログラムカウンタおよびレジスタセットが割り振りに使用可能でない場合に、命令に対する例外を送出することをさらに含む、請求項34に記載の方法。
  36. 前記割り振ること、第1および第2のオペランドを前記保管すること、ならびに前記スケジューリングすることのすべてが、マイクロプロセッサの単一のクロックサイクルに実行される、請求項33に記載の方法。
  37. マルチスレッド化されたマイクロプロセッサで新規の実行のスレッドを作成する方法であって、
    第1のプログラムスレッドで実行される単一の命令をデコードすることと、
    前記デコードに応答して、プログラムカウンタを第2のプログラムスレッドのために割り振ることと、
    前記割り振りが成功であったかどうかを判定することと、
    前記割り振りが成功であった場合に、命令のオペランドをプログラムカウンタに保管し、かつマイクロプロセッサでの実行のために第2のプログラムスレッドをスケジューリングすることと、
    前記割り振りが成功でなかった場合に、命令に対する例外を送出することとを含む、方法。
  38. 前記割り振りが成功であった場合に、命令の第2のオペランドを第2のスレッドに提供することをさらに含む、請求項37に記載の方法。
  39. 前記デコードに応答して、第2のプログラムスレッドのためにレジスタセットを割り振ることをさらに含み、
    命令の第2のオペランドを第2のスレッドに前記提供することが、第2のプログラムスレッドのために割り振られた前記レジスタセットのレジスタに第2のオペランドを保管することを含む、請求項38に記載の方法。
  40. 前記デコードに応答して、第2のプログラムスレッドのためにスタックポインタを割り振ることをさらに含み、スタックポインタが、第2のスレッドに関連するスタックメモリを指定し、
    命令の第2のオペランドを第2のスレッドに前記提供することが、スタックメモリに第2オペランドを保管することを含む、請求項38に記載の方法。
  41. マルチスレッド化された処理システムであって、
    第1のスレッドのfork命令およびデータ構造を保管するように構成されたメモリを含み、前記fork命令が、前記データ構造のメモリアドレスおよび第2のスレッドの最初の命令アドレスを保管するレジスタを指定し、前記データ構造が、前記第2のスレッドの最初の汎用レジスタ値を含み、前記マルチスレッド化された処理システムがさらに、
    前記メモリに結合されたマイクロプロセッサを含み、該マイクロプロセッサが、前記fork命令に応答して、(1)前記第2のスレッドのために空きスレッドコンテキストを割り振り、(2)前記第2のスレッドの最初の命令アドレスを前記スレッドコンテキストのプログラムカウンタに保管し、(3)前記データ構造メモリアドレスを前記スレッドコンテキストのレジスタに保管し、(4)実行のために前記第2のスレッドをスケジューリングするように構成される、マルチスレッド化された処理システム。
  42. 前記データ構造に含まれる前記第2のスレッドの前記最初のレジスタ値の個数が、前記スレッドコンテキストの汎用レジスタの個数より少ない、請求項41に記載の処理システム。
  43. 前記第2のスレッドに割り振られる前記スレッドコンテキストが、前記第1のスレッドのスレッドコンテキストと別個である、請求項41に記載の処理システム。
  44. 前記メモリが、さらに、前記データ構造の前記最初のレジスタ値を、前記メモリから前記スレッドコンテキストの汎用レジスタにコピーするために、前記第2のスレッドのプログラム命令を保管するようにさらに構成され、これによって、前記マイクロプロセッサが、前記fork命令に応答して、前記第2のスレッドの前記スレッドコンテキストに、前記第1のスレッドのスレッドコンテキスト全体をコピーすることなしで済ませることが可能になる、請求項43に記載の処理システム。
  45. 前記マイクロプロセッサが、さらに、前記第2のスレッドへの割り振りに使用可能な空きスレッドコンテキストがない場合に、前記fork命令に対する例外を送出するように構成される、請求項41に記載の処理システム。
  46. コンピューティングデバイスと共に使用されるコンピュータプログラム製品であって、
    コンピュータ使用可能媒体を含み、該コンピュータ使用可能媒体が、マルチスレッド化されたマイクロプロセッサをもたらすために、前記コンピュータ使用可能媒体で実施されるコンピュータ可読プログラムコードを有し、前記コンピュータ可読プログラムコードが、
    第1のプログラムスレッド内に命令のフェッチアドレスを保管するために、第1のプログラムカウンタを提供する第1のプログラムコードと、
    それぞれ第1および第2のオペランドを保管するために、前記命令によって指定される第1および第2のレジスタを含む第1のレジスタセットを提供する第2のプログラムコードとを含み、前記第1のオペランドが、第2のプログラムスレッドのフェッチアドレスを指定し、前記コンピュータ可読プログラムコードがさらに、
    前記命令に応答して前記第1のレジスタから前記第1のオペランドを受け取るために、前記第1のレジスタセットに結合された、第2のプログラムカウンタを提供する第3のプログラムコードと、
    前記命令に応答して前記第2のレジスタから前記第2のオペランドを受け取るために、第3のレジスタを含む、前記第1のレジスタセットに結合された、第2のレジスタセットを提供する第4のプログラムコードと、
    前記命令に応答して、マイクロプロセッサを、前記第2のプログラムカウンタに保管された前記第2のプログラムスレッドの最初のフェッチアドレスから、命令をフェッチさせかつ実行させるために、前記第1および第2のレジスタセットに結合された、スケジューラを提供する第5のプログラムコードとを含む、コンピュータプログラム製品。
  47. 前記コンピュータ可読プログラムコードが、さらに、
    前記命令に応答して、前記第2のプログラムスレッドからアドレス空間識別子を受け取るために、状況レジスタを提供する第6のプログラムコードを含む、請求項46に記載のコンピュータプログラム製品。
  48. 前記コンピュータ可読プログラムコードが、さらに、
    前記命令に応答して、前記第2のプログラムスレッドから実行特権レベル識別子を受け取るために、状況レジスタを提供する第6のプログラムコードを含む、請求項46に記載のコンピュータプログラム製品。
  49. 伝送媒体で実施されるコンピュータデータ信号であって、
    fork命令を実行するために、マルチスレッド化されたマイクロプロセッサを提供するコンピュータ可読プログラムコードを含み、前記コンピュータ可読プログラムコードが、
    新規スレッドのためにプログラムカウンタおよびレジスタセットを含むリソースを割り振り、かつマイクロプロセッサ上での前記新規スレッドの実行をスケジューリングするように、マイクロプロセッサを指示するために、オペコードを提供する第1のプログラムコードと、
    前記新規スレッドのために割り振られた前記プログラムカウンタに保管される最初の命令フェッチアドレスを指定するために、第1のオペランドを提供する第2のプログラムコードと、
    前記新規スレッドのために割り振られた前記レジスタセットのレジスタに保管するために、第2のオペランドを提供する第3のプログラムコードとを含む、コンピュータデータ信号。
  50. 前記コンピュータ可読プログラムコードが、さらに、
    前記レジスタセットのうちのどの前記レジスタに、前記第2のオペランドが保管されるかを指定するために、第3のオペランドを提供する第4のプログラムコードを含む、請求項49に記載のコンピュータデータ信号。
  51. 前記コンピュータ可読プログラムコードが、さらに、
    前記新規スレッドに割り振られた前記レジスタセットに関連する状況レジスタを提供する第4のプログラムコードをさらに含み、前記状況レジスタが、前記新規スレッドの割り振り以降に、前記レジスタセットが書き込まれたかどうかを示すインジケータを含む、請求項49に記載のコンピュータデータ信号。
  52. 前記コンピュータ可読プログラムコードが、さらに、
    プログラムカウンタおよびレジスタセットが、前記新規スレッドへの割り振りのために空いていない場合に、fork命令に対する例外を送出する例外インジケータを提供する第4のプログラムコードを含む、請求項49に記載のコンピュータプログラム製品。
JP2006524868A 2003-08-28 2004-08-27 マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令 Expired - Fee Related JP4818918B2 (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US49918003P 2003-08-28 2003-08-28
US60/499,180 2003-08-28
US50235803P 2003-09-12 2003-09-12
US50235903P 2003-09-12 2003-09-12
US60/502,359 2003-09-12
US60/502,358 2003-09-12
US10/684,348 US20050050305A1 (en) 2003-08-28 2003-10-10 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US10/684,348 2003-10-10
US10/684,350 2003-10-10
US10/684,350 US7376954B2 (en) 2003-08-28 2003-10-10 Mechanisms for assuring quality of service for programs executing on a multithreaded processor
PCT/US2004/027827 WO2005022384A1 (en) 2003-08-28 2004-08-27 Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor

Publications (2)

Publication Number Publication Date
JP2007504535A true JP2007504535A (ja) 2007-03-01
JP4818918B2 JP4818918B2 (ja) 2011-11-16

Family

ID=34624066

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006524868A Expired - Fee Related JP4818918B2 (ja) 2003-08-28 2004-08-27 マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令
JP2006524929A Expired - Fee Related JP4818919B2 (ja) 2003-08-28 2004-08-27 プロセッサ内での実行の計算スレッドを一時停止して割り当て解除するための統合されたメカニズム
JP2006524900A Expired - Fee Related JP4740851B2 (ja) 2003-08-28 2004-08-27 仮想プロセッサリソースの動的構成のための機構体

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2006524929A Expired - Fee Related JP4818919B2 (ja) 2003-08-28 2004-08-27 プロセッサ内での実行の計算スレッドを一時停止して割り当て解除するための統合されたメカニズム
JP2006524900A Expired - Fee Related JP4740851B2 (ja) 2003-08-28 2004-08-27 仮想プロセッサリソースの動的構成のための機構体

Country Status (5)

Country Link
US (6) US7424599B2 (ja)
EP (3) EP1660993B1 (ja)
JP (3) JP4818918B2 (ja)
DE (1) DE602004017879D1 (ja)
WO (1) WO2005022381A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010204874A (ja) * 2009-03-03 2010-09-16 Nec Corp スレッド制御システム、方法及びプログラム
WO2011155097A1 (ja) * 2010-06-11 2011-12-15 パナソニック株式会社 命令発行制御装置及び方法
WO2012093488A1 (ja) * 2011-01-07 2012-07-12 富士通株式会社 スケジューリング方法、およびマルチコアプロセッサシステム
US8433884B2 (en) 2008-06-19 2013-04-30 Panasonic Corporation Multiprocessor

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2836407B1 (fr) * 2002-02-28 2004-05-14 Thermagen Procede de fabrication d'emballage metallique
US7627721B2 (en) * 2002-10-08 2009-12-01 Rmi Corporation Advanced processor with cache coherency
KR100591755B1 (ko) * 2003-07-22 2006-06-22 삼성전자주식회사 복수의 스레드를 동시에 처리하는 장치 및 방법
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US9032404B2 (en) * 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7836450B2 (en) * 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) * 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US7424599B2 (en) * 2003-08-28 2008-09-09 Mips Technologies, Inc. Apparatus, method, and instruction for software management of multiple computational contexts in a multithreaded microprocessor
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US8775112B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for increasing die yield
US8775997B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
US8732644B1 (en) 2003-09-15 2014-05-20 Nvidia Corporation Micro electro mechanical switch system and method for testing and configuring semiconductor functional circuits
US20050094551A1 (en) * 2003-09-25 2005-05-05 Broadcom Corporation Processor instruction for DMT encoding
US7903810B2 (en) * 2003-09-26 2011-03-08 Broadcom Corporation Single instruction for data scrambling
US7756273B2 (en) * 2003-09-26 2010-07-13 Broadcom Corporation System and method for bit-reversing and scrambling payload bytes in an asynchronous transfer mode cell
US7751557B2 (en) * 2003-09-26 2010-07-06 Broadcom Corporation Data de-scrambler
US6897871B1 (en) 2003-11-20 2005-05-24 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US8711161B1 (en) 2003-12-18 2014-04-29 Nvidia Corporation Functional component compensation reconfiguration system and method
US7770169B2 (en) * 2004-05-17 2010-08-03 Oracle America, Inc. Thread rendezvous for read-only code in an object-oriented computing environment
EP1622009A1 (en) * 2004-07-27 2006-02-01 Texas Instruments Incorporated JSM architecture and systems
US7890735B2 (en) * 2004-08-30 2011-02-15 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US7320063B1 (en) 2005-02-04 2008-01-15 Sun Microsystems, Inc. Synchronization primitives for flexible scheduling of functional unit operations
US8723231B1 (en) 2004-09-15 2014-05-13 Nvidia Corporation Semiconductor die micro electro-mechanical switch management system and method
US8711156B1 (en) * 2004-09-30 2014-04-29 Nvidia Corporation Method and system for remapping processing elements in a pipeline of a graphics processing unit
US7203100B2 (en) 2004-11-01 2007-04-10 Sun Mircosystems, Inc. Efficient implementation of a read scheme for multi-threaded register file
US7707578B1 (en) * 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
US20060136919A1 (en) * 2004-12-17 2006-06-22 Sun Microsystems, Inc. System and method for controlling thread suspension in a multithreaded processor
US8539211B2 (en) * 2005-01-25 2013-09-17 Nytell Software LLC Allocating registers for loop variables in a multi-threaded processor
US8254411B2 (en) * 2005-02-10 2012-08-28 International Business Machines Corporation Data processing system, method and interconnect fabric having a flow governor
US7483422B2 (en) * 2005-02-10 2009-01-27 International Business Machines Corporation Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
US7743233B2 (en) * 2005-04-05 2010-06-22 Intel Corporation Sequencer address management
US7389403B1 (en) * 2005-08-10 2008-06-17 Sun Microsystems, Inc. Adaptive computing ensemble microprocessor architecture
US7721151B2 (en) * 2005-08-30 2010-05-18 Cisco Technology, Inc. Selective error recovery of processing complex using privilege-level error discrimination
US7844971B2 (en) * 2005-09-28 2010-11-30 Intel Corporation Method and apparatus for detecting cross-thread stack access in multithreaded programs
US8185895B2 (en) * 2005-11-30 2012-05-22 International Business Machines Corporation Method, apparatus and program storage device for providing an anchor pointer in an operating system context structure for improving the efficiency of accessing thread specific data
KR100663709B1 (ko) * 2005-12-28 2007-01-03 삼성전자주식회사 재구성 아키텍처에서의 예외 처리 방법 및 장치
US8108863B2 (en) 2005-12-30 2012-01-31 Intel Corporation Load balancing for multi-threaded applications via asymmetric power throttling
US20070226795A1 (en) * 2006-02-09 2007-09-27 Texas Instruments Incorporated Virtual cores and hardware-supported hypervisor integrated circuits, systems, methods and processes of manufacture
KR100714710B1 (ko) * 2006-02-22 2007-05-04 삼성전자주식회사 입출력 작업에 의해 블로킹된 스레드를 강제 종료하는 장치및 방법
KR100770034B1 (ko) * 2006-03-02 2007-10-26 삼성전자주식회사 복수의 레지스터 파일을 이용한 문맥 교환 방법 및 시스템
GB0605383D0 (en) * 2006-03-17 2006-04-26 Williams Paul N Processing system
US7802073B1 (en) * 2006-03-29 2010-09-21 Oracle America, Inc. Virtual core management
WO2007115429A1 (en) * 2006-03-31 2007-10-18 Intel Corporation Managing and supporting multithreaded resources for native code in a heterogeneous managed runtime environment
CN103646009B (zh) 2006-04-12 2016-08-17 索夫特机械公司 对载明并行和依赖运算的指令矩阵进行处理的装置和方法
US7912889B1 (en) * 2006-06-16 2011-03-22 Nvidia Corporation Mapping the threads of a CTA to the elements of a tile for efficient matrix multiplication
US7792895B1 (en) * 2006-06-16 2010-09-07 Nvidia Corporation Efficient matrix multiplication on a parallel processing device
US8046775B2 (en) 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7650465B2 (en) * 2006-08-18 2010-01-19 Mips Technologies, Inc. Micro tag array having way selection bits for reducing data cache access power
US7657708B2 (en) * 2006-08-18 2010-02-02 Mips Technologies, Inc. Methods for reducing data cache access power in a processor using way selection bits
US9665970B2 (en) * 2006-09-19 2017-05-30 Imagination Technologies Limited Variable-sized concurrent grouping for multiprocessing
US7594079B2 (en) 2006-09-29 2009-09-22 Mips Technologies, Inc. Data cache virtual hint way prediction, and applications thereof
US20080082793A1 (en) * 2006-09-29 2008-04-03 Mips Technologies, Inc. Detection and prevention of write-after-write hazards, and applications thereof
US9946547B2 (en) * 2006-09-29 2018-04-17 Arm Finance Overseas Limited Load/store unit for a processor, and applications thereof
US7493436B2 (en) * 2006-10-26 2009-02-17 International Business Machines Corporation Interrupt handling using simultaneous multi-threading
US8402463B2 (en) * 2006-10-30 2013-03-19 Hewlett-Packard Development Company, L.P. Hardware threads processor core utilization
US7698540B2 (en) * 2006-10-31 2010-04-13 Hewlett-Packard Development Company, L.P. Dynamic hardware multithreading and partitioned hardware multithreading
CN101627365B (zh) 2006-11-14 2017-03-29 索夫特机械公司 多线程架构
US7975272B2 (en) * 2006-12-30 2011-07-05 Intel Corporation Thread queuing method and apparatus
US8321849B2 (en) * 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
JP5595633B2 (ja) * 2007-02-26 2014-09-24 スパンション エルエルシー シミュレーション方法及びシミュレーション装置
US8219789B2 (en) * 2007-03-14 2012-07-10 XMOS Ltd. Interface processor
US9367321B2 (en) * 2007-03-14 2016-06-14 Xmos Limited Processor instruction set for controlling an event source to generate events used to schedule threads
US7979683B1 (en) * 2007-04-05 2011-07-12 Nvidia Corporation Multiple simultaneous context architecture
US8095782B1 (en) * 2007-04-05 2012-01-10 Nvidia Corporation Multiple simultaneous context architecture for rebalancing contexts on multithreaded processing cores upon a context change
US8521993B2 (en) * 2007-04-09 2013-08-27 Intel Corporation Providing thread fairness by biasing selection away from a stalling thread using a stall-cycle counter in a hyper-threaded microprocessor
US8261049B1 (en) 2007-04-10 2012-09-04 Marvell International Ltd. Determinative branch prediction indexing
US7681020B2 (en) * 2007-04-18 2010-03-16 International Business Machines Corporation Context switching and synchronization
WO2008132761A2 (en) * 2007-04-26 2008-11-06 Hewlett-Packard Development Company L.P. Method and system for managing heap across process replication
US7797512B1 (en) 2007-07-23 2010-09-14 Oracle America, Inc. Virtual core management
US8132170B2 (en) * 2007-08-07 2012-03-06 International Business Machines Corporation Call stack sampling in a data processing system
JP5043560B2 (ja) * 2007-08-24 2012-10-10 パナソニック株式会社 プログラム実行制御装置
US20090063881A1 (en) * 2007-08-31 2009-03-05 Mips Technologies, Inc. Low-overhead/power-saving processor synchronization mechanism, and applications thereof
US8782779B2 (en) * 2007-09-26 2014-07-15 Hewlett-Packard Development Company, L.P. System and method for achieving protected region within computer system
US20090089031A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Integrated simulation of controllers and devices
US20090089029A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Enhanced execution speed to improve simulation performance
US8548777B2 (en) * 2007-09-28 2013-10-01 Rockwell Automation Technologies, Inc. Automated recommendations from simulation
US7801710B2 (en) * 2007-09-28 2010-09-21 Rockwell Automation Technologies, Inc. Simulation controls for model variability and randomness
US20090089234A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Automated code generation for simulators
US8069021B2 (en) * 2007-09-28 2011-11-29 Rockwell Automation Technologies, Inc. Distributed simulation and synchronization
US20090100249A1 (en) * 2007-10-10 2009-04-16 Eichenberger Alexandre E Method and apparatus for allocating architectural register resources among threads in a multi-threaded microprocessor core
US8724483B2 (en) 2007-10-22 2014-05-13 Nvidia Corporation Loopback configuration for bi-directional interfaces
US8321840B2 (en) * 2007-12-27 2012-11-27 Intel Corporation Software flow tracking using multiple threads
US20090210873A1 (en) * 2008-02-15 2009-08-20 International Business Machines Corporation Re-tasking a managed virtual machine image in a virtualization data processing system
WO2009101976A1 (ja) * 2008-02-15 2009-08-20 Nec Corporation プログラム並列化装置、プログラム並列化方法及びプログラム並列化プログラム
US8751211B2 (en) 2008-03-27 2014-06-10 Rocketick Technologies Ltd. Simulation using parallel processors
JP4996519B2 (ja) * 2008-03-27 2012-08-08 パナソニック株式会社 仮想マルチプロセッサ、システムlsi、携帯電話機器、及び仮想マルチプロセッサの制御方法
US8561072B2 (en) * 2008-05-16 2013-10-15 Microsoft Corporation Scheduling collections in a scheduler
US8566830B2 (en) * 2008-05-16 2013-10-22 Microsoft Corporation Local collections of tasks in a scheduler
US9032377B2 (en) * 2008-07-10 2015-05-12 Rocketick Technologies Ltd. Efficient parallel computation of dependency problems
US20100011357A1 (en) * 2008-07-13 2010-01-14 International Business Machines Corporation System and method for garbage collection in a virtual machine
US9418005B2 (en) 2008-07-15 2016-08-16 International Business Machines Corporation Managing garbage collection in a data processing system
US20100017583A1 (en) * 2008-07-15 2010-01-21 International Business Machines Corporation Call Stack Sampling for a Multi-Processor System
US8024732B2 (en) * 2008-07-28 2011-09-20 Microsoft Corporation State separation for application changes
US7827321B2 (en) 2008-10-02 2010-11-02 International Business Machines Corporation Central processing unit measurement facility
US9449314B2 (en) * 2008-10-02 2016-09-20 International Business Machines Corporation Virtualization of a central processing unit measurement facility
US8209559B2 (en) * 2008-12-24 2012-06-26 Intel Corporation Low power polling techniques
WO2010095182A1 (ja) * 2009-02-17 2010-08-26 パナソニック株式会社 マルチスレッドプロセッサ及びデジタルテレビシステム
US8561040B2 (en) * 2009-03-10 2013-10-15 Oracle America, Inc. One-pass compilation of virtual instructions
US9250973B2 (en) * 2009-03-12 2016-02-02 Polycore Software, Inc. Apparatus and associated methodology of generating a multi-core communications topology
US9444757B2 (en) 2009-04-27 2016-09-13 Intel Corporation Dynamic configuration of processing modules in a network communications processor architecture
US9461930B2 (en) 2009-04-27 2016-10-04 Intel Corporation Modifying data streams without reordering in a multi-thread, multi-flow network processor
US8910171B2 (en) * 2009-04-27 2014-12-09 Lsi Corporation Thread synchronization in a multi-thread network communications processor architecture
US20100333071A1 (en) * 2009-06-30 2010-12-30 International Business Machines Corporation Time Based Context Sampling of Trace Data with Support for Multiple Virtual Machines
US8593472B1 (en) * 2009-07-31 2013-11-26 Nvidia Corporation System and method for accessing a frame buffer via a storage driver
US10169072B2 (en) * 2009-09-23 2019-01-01 Nvidia Corporation Hardware for parallel command list generation
GB2474521B (en) * 2009-10-19 2014-10-15 Ublox Ag Program flow control
US20110173420A1 (en) * 2010-01-08 2011-07-14 International Business Machines Corporation Processor resume unit
US8447960B2 (en) * 2010-01-08 2013-05-21 International Business Machines Corporation Pausing and activating thread state upon pin assertion by external logic monitoring polling loop exit time condition
KR101644800B1 (ko) * 2010-01-07 2016-08-02 삼성전자주식회사 컴퓨팅 시스템 및 방법
US9331869B2 (en) 2010-03-04 2016-05-03 Nvidia Corporation Input/output request packet handling techniques by a device specific kernel mode driver
US9176783B2 (en) 2010-05-24 2015-11-03 International Business Machines Corporation Idle transitions sampling with execution context
US8843684B2 (en) 2010-06-11 2014-09-23 International Business Machines Corporation Performing call stack sampling by setting affinity of target thread to a current process to prevent target thread migration
US8516492B2 (en) * 2010-06-11 2013-08-20 International Business Machines Corporation Soft partitions and load balancing
US8799872B2 (en) 2010-06-27 2014-08-05 International Business Machines Corporation Sampling with sample pacing
US8667253B2 (en) 2010-08-04 2014-03-04 International Business Machines Corporation Initiating assist thread upon asynchronous event for processing simultaneously with controlling thread and updating its running status in status register
CN103080921B (zh) 2010-08-30 2015-11-25 富士通株式会社 多核处理器系统、同步控制系统、同步控制装置、信息生成方法
CN103250131B (zh) 2010-09-17 2015-12-16 索夫特机械公司 包括用于早期远分支预测的影子缓存的单周期多分支预测
US8793474B2 (en) 2010-09-20 2014-07-29 International Business Machines Corporation Obtaining and releasing hardware threads without hypervisor involvement
US8713290B2 (en) 2010-09-20 2014-04-29 International Business Machines Corporation Scaleable status tracking of multiple assist hardware threads
US8572628B2 (en) 2010-12-02 2013-10-29 International Business Machines Corporation Inter-thread data communications in a computer processor
US8561070B2 (en) * 2010-12-02 2013-10-15 International Business Machines Corporation Creating a thread of execution in a computer processor without operating system intervention
US8799904B2 (en) 2011-01-21 2014-08-05 International Business Machines Corporation Scalable system call stack sampling
TWI518504B (zh) 2011-03-25 2016-01-21 軟體機器公司 使用可分割引擎實體化的虛擬核心以支援程式碼區塊執行的暫存器檔案節段
CN103547993B (zh) 2011-03-25 2018-06-26 英特尔公司 通过使用由可分割引擎实例化的虚拟核来执行指令序列代码块
WO2012135050A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines
US9128748B2 (en) 2011-04-12 2015-09-08 Rocketick Technologies Ltd. Parallel simulation using multiple co-simulators
US9158592B2 (en) * 2011-05-02 2015-10-13 Green Hills Software, Inc. System and method for time variant scheduling of affinity groups comprising processor core and address spaces on a synchronized multicore processor
TWI603198B (zh) 2011-05-20 2017-10-21 英特爾股份有限公司 以複數個引擎作資源與互連結構的分散式分配以支援指令序列的執行
CN103649931B (zh) 2011-05-20 2016-10-12 索夫特机械公司 用于支持由多个引擎执行指令序列的互连结构
US8701107B2 (en) 2011-06-14 2014-04-15 Vmware, Inc. Decentralized management of virtualized hosts
US9026630B2 (en) 2011-06-14 2015-05-05 Vmware, Inc. Managing resources in a distributed system using dynamic clusters
US8856784B2 (en) 2011-06-14 2014-10-07 Vmware, Inc. Decentralized management of virtualized hosts
DE112012002465T5 (de) * 2011-06-16 2014-03-20 Caustic Graphics, Inc. Grafikprozessor mit nicht blockierender gleichzeitiger Architektur
CN104809058B (zh) * 2011-06-17 2018-05-18 阿里巴巴集团控股有限公司 一种在测试执行中动态调度并发数量的方法及装置
US8695010B2 (en) 2011-10-03 2014-04-08 International Business Machines Corporation Privilege level aware processor hardware resource management facility
CN102495726B (zh) * 2011-11-15 2015-05-20 无锡德思普科技有限公司 机会多线程方法及处理器
EP2783281B1 (en) 2011-11-22 2020-05-13 Intel Corporation A microprocessor accelerated code optimizer
WO2013077875A1 (en) 2011-11-22 2013-05-30 Soft Machines, Inc. An accelerated code optimizer for a multiengine microprocessor
FR2984554B1 (fr) * 2011-12-16 2016-08-12 Sagemcom Broadband Sas Bus logiciel
WO2013100959A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Processor accelerator interface virtualization
US20140325185A1 (en) * 2012-01-31 2014-10-30 Siemens Aktiengesellschaff Method for Operating a Processor
JP5894496B2 (ja) * 2012-05-01 2016-03-30 ルネサスエレクトロニクス株式会社 半導体装置
US9003218B2 (en) 2012-05-21 2015-04-07 International Business Machines Corporation Power shifting in multicore platforms by varying SMT levels
US9311101B2 (en) 2012-06-15 2016-04-12 International Business Machines Corporation Intra-instructional transaction abort handling
US9262320B2 (en) 2012-06-15 2016-02-16 International Business Machines Corporation Tracking transactional execution footprint
US9223687B2 (en) 2012-06-15 2015-12-29 International Business Machines Corporation Determining the logical address of a transaction abort
US9298631B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Managing transactional and non-transactional store observability
US9298469B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Management of multiple nested transactions
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
EP2972836B1 (en) 2013-03-15 2022-11-09 Intel Corporation A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
KR101708591B1 (ko) 2013-03-15 2017-02-20 소프트 머신즈, 인크. 블록들로 그룹화된 멀티스레드 명령어들을 실행하기 위한 방법
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
US10534421B2 (en) 2013-06-13 2020-01-14 Microsoft Technology Licensing, Llc Virtual per-processor timers for multiprocessor systems
US9367325B2 (en) * 2013-06-29 2016-06-14 Intel Corporation Common architecture state presentation for processor having processing cores of different types
US9251071B2 (en) 2013-08-30 2016-02-02 Apple Inc. Concurrent inline cache optimization in accessing dynamically typed objects
US9477628B2 (en) * 2013-09-28 2016-10-25 Intel Corporation Collective communications apparatus and method for parallel systems
GB2519813B (en) 2013-10-31 2016-03-30 Silicon Tailor Ltd Pipelined configurable processor
US9830206B2 (en) * 2013-12-18 2017-11-28 Cray Inc. Cross-thread exception handling
US9766894B2 (en) 2014-02-06 2017-09-19 Optimum Semiconductor Technologies, Inc. Method and apparatus for enabling a processor to generate pipeline control signals
US9558000B2 (en) * 2014-02-06 2017-01-31 Optimum Semiconductor Technologies, Inc. Multithreading using an ordered list of hardware contexts
US9594660B2 (en) 2014-03-27 2017-03-14 International Business Machines Corporation Multithreading computer system and program product for executing a query instruction for idle time accumulation among cores
US9772867B2 (en) 2014-03-27 2017-09-26 International Business Machines Corporation Control area for managing multiple threads in a computer
US9804846B2 (en) 2014-03-27 2017-10-31 International Business Machines Corporation Thread context preservation in a multithreading computer system
US9195493B2 (en) 2014-03-27 2015-11-24 International Business Machines Corporation Dispatching multiple threads in a computer
US10102004B2 (en) 2014-03-27 2018-10-16 International Business Machines Corporation Hardware counters to track utilization in a multithreading computer system
US9213569B2 (en) 2014-03-27 2015-12-15 International Business Machines Corporation Exiting multiple threads in a computer
US9223574B2 (en) 2014-03-27 2015-12-29 International Business Machines Corporation Start virtual execution instruction for dispatching multiple threads in a computer
US9354883B2 (en) 2014-03-27 2016-05-31 International Business Machines Corporation Dynamic enablement of multithreading
US9417876B2 (en) 2014-03-27 2016-08-16 International Business Machines Corporation Thread context restoration in a multithreading computer system
US9921848B2 (en) 2014-03-27 2018-03-20 International Business Machines Corporation Address expansion and contraction in a multithreading computer system
GB201406392D0 (en) * 2014-04-09 2014-05-21 Advanced Risc Mach Ltd Data processing systems
US9652262B2 (en) * 2014-10-09 2017-05-16 The Regents Of The University Of Michigan Operation parameter control based upon queued instruction characteristics
US9733987B2 (en) * 2015-02-20 2017-08-15 Intel Corporation Techniques to dynamically allocate resources of configurable computing resources
US9747108B2 (en) * 2015-03-27 2017-08-29 Intel Corporation User-level fork and join processors, methods, systems, and instructions
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
RU2609744C1 (ru) * 2015-10-05 2017-02-02 Олег Александрович Козелков Логический процессор
US20170139740A1 (en) * 2015-11-12 2017-05-18 Futurewei Technologies, Inc. Systems and Methods for Real Time Context Based Isolation and Virtualization
US10394556B2 (en) * 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US10459727B2 (en) 2015-12-31 2019-10-29 Microsoft Technology Licensing, Llc Loop code processor optimizations
US10592252B2 (en) 2015-12-31 2020-03-17 Microsoft Technology Licensing, Llc Efficient instruction processing for sparse data
US10430580B2 (en) 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions
US10318356B2 (en) * 2016-03-31 2019-06-11 International Business Machines Corporation Operation of a multi-slice processor implementing a hardware level transfer of an execution thread
US10558463B2 (en) 2016-06-03 2020-02-11 Synopsys, Inc. Communication between threads of multi-thread processor
US10628320B2 (en) 2016-06-03 2020-04-21 Synopsys, Inc. Modulization of cache structure utilizing independent tag array and data array in microprocessor
US10318302B2 (en) * 2016-06-03 2019-06-11 Synopsys, Inc. Thread switching in microprocessor without full save and restore of register file
US10249017B2 (en) * 2016-08-11 2019-04-02 Intel Corporation Apparatus and method for shared resource partitioning through credit management
US10613859B2 (en) 2016-08-18 2020-04-07 Synopsys, Inc. Triple-pass execution using a retire queue having a functional unit to independently execute long latency instructions and dependent instructions
US10552158B2 (en) 2016-08-18 2020-02-04 Synopsys, Inc. Reorder buffer scoreboard having multiple valid bits to indicate a location of data
US10678599B2 (en) * 2016-09-13 2020-06-09 Rockley Photonics Limited Hardware efficient system and method for load balancing using a random number
US10552212B2 (en) * 2016-11-28 2020-02-04 Arm Limited Data processing
US10579499B2 (en) * 2017-04-04 2020-03-03 International Business Machines Corporation Task latency debugging in symmetric multiprocessing computer systems
US10489304B2 (en) 2017-07-14 2019-11-26 Arm Limited Memory address translation
US10467159B2 (en) 2017-07-14 2019-11-05 Arm Limited Memory node controller
US10534719B2 (en) 2017-07-14 2020-01-14 Arm Limited Memory system for a data processing network
US10592424B2 (en) 2017-07-14 2020-03-17 Arm Limited Range-based memory system
US10565126B2 (en) 2017-07-14 2020-02-18 Arm Limited Method and apparatus for two-layer copy-on-write
US10353826B2 (en) * 2017-07-14 2019-07-16 Arm Limited Method and apparatus for fast context cloning in a data processing system
US10613989B2 (en) 2017-07-14 2020-04-07 Arm Limited Fast address translation for virtual machines
GB2565338B (en) * 2017-08-10 2020-06-03 Mips Tech Llc Fault detecting and fault tolerant multi-threaded processors
US20190065202A1 (en) 2017-08-31 2019-02-28 MIPS Tech, LLC Pointer-size controlled instruction processing
WO2019183831A1 (en) * 2018-03-28 2019-10-03 Intel Corporation Address space identifier management in complex input/output virtualization environments
US10884850B2 (en) 2018-07-24 2021-01-05 Arm Limited Fault tolerant memory system
US10740104B2 (en) * 2018-08-16 2020-08-11 International Business Machines Corporation Tagging target branch predictors with context with index modification and late stop fetch on tag mismatch
CN110955503B (zh) * 2018-09-27 2023-06-27 深圳市创客工场科技有限公司 任务调度方法及装置
GB2580327B (en) * 2018-12-31 2021-04-28 Graphcore Ltd Register files in a multi-threaded processor
US11080062B2 (en) 2019-01-12 2021-08-03 MIPS Tech, LLC Address manipulation using indices and tags
GB2581821B (en) * 2019-02-28 2021-06-30 Advanced Risc Mach Ltd Conditional yield to hypervisor instruction
US11474861B1 (en) * 2019-11-27 2022-10-18 Meta Platforms Technologies, Llc Methods and systems for managing asynchronous function calls
US11294709B2 (en) 2020-02-18 2022-04-05 Nxp Usa, Inc. System and method of obtaining multiple factor performance gain in processing system
GB202012418D0 (en) * 2020-08-10 2020-09-23 Nordic Semiconductor Asa Processing apparatus
US11586443B2 (en) * 2020-10-20 2023-02-21 Micron Technology, Inc. Thread-based processor halting
US20230058935A1 (en) * 2021-08-18 2023-02-23 Micron Technology, Inc. Managing return parameter allocation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08171494A (ja) * 1994-12-19 1996-07-02 Nec Corp マルチスレッドプロセッサ
JPH08249195A (ja) * 1995-02-03 1996-09-27 Internatl Business Mach Corp <Ibm> 命令スレッドを処理するための方法およびシステム
JPH08249183A (ja) * 1995-02-03 1996-09-27 Internatl Business Mach Corp <Ibm> 推論並列命令スレッドの実行
US5799188A (en) * 1995-12-15 1998-08-25 International Business Machines Corporation System and method for managing variable weight thread contexts in a multithreaded computer system
US5854922A (en) * 1997-01-16 1998-12-29 Ford Motor Company Micro-sequencer apparatus and method of combination state machine and instruction memory
JP2003029984A (ja) * 2001-07-12 2003-01-31 Nec Corp マルチスレッド実行方法及び並列プロセッサシステム
JP2003030050A (ja) * 2001-07-18 2003-01-31 Nec Corp マルチスレッド実行方法及び並列プロセッサシステム
US20030093652A1 (en) * 2001-11-14 2003-05-15 Song Seungyoon Peter Operand file using pointers and reference counters and a method of use
JP2003167748A (ja) * 2001-11-30 2003-06-13 Fujitsu Ltd マルチスレッド計算機

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578142A (en) * 1897-03-02 Jacob fierz
US3665404A (en) * 1970-04-09 1972-05-23 Burroughs Corp Multi-processor processing system having interprocessor interrupt apparatus
JPS6258341A (ja) 1985-09-03 1987-03-14 Fujitsu Ltd 入出力割込処理方式
ATE89936T1 (de) 1986-08-13 1993-06-15 Toshiba Machine Co Ltd Datenverarbeitungsanlage.
US4817051A (en) 1987-07-02 1989-03-28 Fairchild Semiconductor Corporation Expandable multi-port random access memory
US4843541A (en) * 1987-07-29 1989-06-27 International Business Machines Corporation Logical resource partitioning of a data processing system
US5159686A (en) * 1988-02-29 1992-10-27 Convex Computer Corporation Multi-processor computer system having process-independent communication register addressing
US5428754A (en) * 1988-03-23 1995-06-27 3Dlabs Ltd Computer system with clock shared between processors executing separate instruction streams
EP0473714A1 (en) * 1989-05-26 1992-03-11 Massachusetts Institute Of Technology Parallel multithreaded data processing system
US5253308A (en) * 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
US5148538A (en) * 1989-10-20 1992-09-15 International Business Machines Corporation Translation look ahead based cache access
US5247694A (en) * 1990-06-14 1993-09-21 Thinking Machines Corporation System and method for generating communications arrangements for routing data in a massively parallel processing system
US5410710A (en) * 1990-12-21 1995-04-25 Intel Corporation Multiprocessor programmable interrupt controller system adapted to functional redundancy checking processor systems
FR2677474B1 (fr) * 1991-06-04 1993-09-24 Sextant Avionique Dispositif permettant d'accroitre les performances d'un noyau d'executif temps reel associe a une structure multiprocesseur pouvant comprendre un nombre eleve de processeurs.
US5542076A (en) * 1991-06-14 1996-07-30 Digital Equipment Corporation Method and apparatus for adaptive interrupt servicing in data processing system
US5301298A (en) * 1991-10-11 1994-04-05 Intel Corporation Processor for multiple cache coherent protocols
JPH05204656A (ja) * 1991-11-30 1993-08-13 Toshiba Corp スレッド固有データ保持方法
US5515538A (en) * 1992-05-29 1996-05-07 Sun Microsystems, Inc. Apparatus and method for interrupt handling in a multi-threaded operating system kernel
CA2100540A1 (en) * 1992-10-19 1994-04-20 Jonel George System and method for performing resource reconfiguration in a computer system
US5784589A (en) * 1993-10-18 1998-07-21 Cyrix Corporation Distributed free register tracking for register renaming using an availability tracking register associated with each stage of an execution pipeline
US5758142A (en) * 1994-05-31 1998-05-26 Digital Equipment Corporation Trainable apparatus for predicting instruction outcomes in pipelined processors
US5481719A (en) * 1994-09-09 1996-01-02 International Business Machines Corporation Exception handling method and apparatus for a microkernel data processing system
SE9404294D0 (sv) * 1994-12-09 1994-12-09 Ellemtel Utvecklings Ab sätt och anordning vid telekommunikation
US6128720A (en) * 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5867704A (en) * 1995-02-24 1999-02-02 Matsushita Electric Industrial Co., Ltd. Multiprocessor system shaving processor based idle state detection and method of executing tasks in such a multiprocessor system
US5727203A (en) * 1995-03-31 1998-03-10 Sun Microsystems, Inc. Methods and apparatus for managing a database in a distributed object operating environment using persistent and transient cache
US5835748A (en) * 1995-12-19 1998-11-10 Intel Corporation Method for executing different sets of instructions that cause a processor to perform different data type operations on different physical registers files that logically appear to software as a single aliased register file
US5706514A (en) * 1996-03-04 1998-01-06 Compaq Computer Corporation Distributed execution of mode mismatched commands in multiprocessor computer systems
US5892934A (en) * 1996-04-02 1999-04-06 Advanced Micro Devices, Inc. Microprocessor configured to detect a branch to a DSP routine and to direct a DSP to execute said routine
US5790871A (en) * 1996-05-17 1998-08-04 Advanced Micro Devices System and method for testing and debugging a multiprocessing interrupt controller
US5944816A (en) * 1996-05-17 1999-08-31 Advanced Micro Devices, Inc. Microprocessor configured to execute multiple threads including interrupt service routines
US5933627A (en) * 1996-07-01 1999-08-03 Sun Microsystems Thread switch on blocked load or store using instruction thread field
JP2882475B2 (ja) * 1996-07-12 1999-04-12 日本電気株式会社 スレッド実行方法
US5812830A (en) * 1996-11-14 1998-09-22 Hewlett-Packard Company Debug system with raw mode trigger capability
US5949994A (en) 1997-02-12 1999-09-07 The Dow Chemical Company Dedicated context-cycling computer with timed context
US6314511B2 (en) * 1997-04-03 2001-11-06 University Of Washington Mechanism for freeing registers on processors that perform dynamic out-of-order execution of instructions using renaming registers
US6067608A (en) * 1997-04-15 2000-05-23 Bull Hn Information Systems Inc. High performance mechanism for managing allocation of virtual memory buffers to virtual processes on a least recently used basis
US6175916B1 (en) * 1997-05-06 2001-01-16 Microsoft Corporation Common-thread inter-process function calls invoked by jumps to invalid addresses
US5870597A (en) * 1997-06-25 1999-02-09 Sun Microsystems, Inc. Method for speculative calculation of physical register addresses in an out of order processor
US6658447B2 (en) * 1997-07-08 2003-12-02 Intel Corporation Priority based simultaneous multi-threading
US6128641A (en) * 1997-09-12 2000-10-03 Siemens Aktiengesellschaft Data processing unit with hardware assisted context switching capability
US5991856A (en) * 1997-09-30 1999-11-23 Network Associates, Inc. System and method for computer operating system protection
US6697935B1 (en) * 1997-10-23 2004-02-24 International Business Machines Corporation Method and apparatus for selecting thread switch events in a multithreaded processor
US5923892A (en) * 1997-10-27 1999-07-13 Levy; Paul S. Host processor and coprocessor arrangement for processing platform-independent code
US6061710A (en) * 1997-10-29 2000-05-09 International Business Machines Corporation Multithreaded processor incorporating a thread latch register for interrupt service new pending threads
US6647508B2 (en) 1997-11-04 2003-11-11 Hewlett-Packard Development Company, L.P. Multiprocessor computer architecture with multiple operating system instances and software controlled resource allocation
US6014737A (en) * 1997-11-19 2000-01-11 Sony Corporation Of Japan Method and system for allowing a processor to perform read bypassing while automatically maintaining input/output data integrity
US6189083B1 (en) * 1998-02-26 2001-02-13 Compaq Computer Corporation Method and apparatus for accessing a cache memory utilization distingushing bit RAMs
US6088787A (en) * 1998-03-30 2000-07-11 Celestica International Inc. Enhanced program counter stack for multi-tasking central processing unit
US6092175A (en) * 1998-04-02 2000-07-18 University Of Washington Shared register storage mechanisms for multithreaded computer systems with out-of-order execution
US6560626B1 (en) * 1998-04-02 2003-05-06 Microsoft Corporation Thread interruption with minimal resource usage using an asynchronous procedure call
JP3611964B2 (ja) * 1998-04-16 2005-01-19 富士通株式会社 記憶装置、記憶制御方法及び記憶媒体
JP3209205B2 (ja) * 1998-04-28 2001-09-17 日本電気株式会社 プロセッサにおけるレジスタ内容の継承装置
US6496847B1 (en) * 1998-05-15 2002-12-17 Vmware, Inc. System and method for virtualizing computer systems
US6189093B1 (en) * 1998-07-21 2001-02-13 Lsi Logic Corporation System for initiating exception routine in response to memory access exception by storing exception information and exception bit within architectured register
US6253306B1 (en) * 1998-07-29 2001-06-26 Advanced Micro Devices, Inc. Prefetch instruction mechanism for processor
US6920634B1 (en) * 1998-08-03 2005-07-19 International Business Machines Corporation Detecting and causing unsafe latent accesses to a resource in multi-threaded programs
US6223228B1 (en) * 1998-09-17 2001-04-24 Bull Hn Information Systems Inc. Apparatus for synchronizing multiple processors in a data processing system
US6205414B1 (en) * 1998-10-02 2001-03-20 International Business Machines Corporation Methodology for emulation of multi-threaded processes in a single-threaded operating system
US6608630B1 (en) * 1998-11-09 2003-08-19 Broadcom Corporation Graphics display system with line buffer control scheme
US6952827B1 (en) * 1998-11-13 2005-10-04 Cray Inc. User program and operating system interface in a multithreaded environment
US6286027B1 (en) * 1998-11-30 2001-09-04 Lucent Technologies Inc. Two step thread creation with register renaming
US6205543B1 (en) * 1998-12-03 2001-03-20 Sun Microsystems, Inc. Efficient handling of a large register file for context switching
US7020879B1 (en) * 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6401155B1 (en) 1998-12-22 2002-06-04 Philips Electronics North America Corporation Interrupt/software-controlled thread processing
US7275246B1 (en) * 1999-01-28 2007-09-25 Ati International Srl Executing programs for a first computer architecture on a computer of a second architecture
US7111290B1 (en) * 1999-01-28 2006-09-19 Ati International Srl Profiling program execution to identify frequently-executed portions and to assist binary translation
US6330656B1 (en) * 1999-03-31 2001-12-11 International Business Machines Corporation PCI slot control apparatus with dynamic configuration for partitioned systems
JP5285828B2 (ja) * 1999-04-09 2013-09-11 ラムバス・インコーポレーテッド 並列データ処理装置
JP2000305795A (ja) * 1999-04-20 2000-11-02 Nec Corp 並列処理装置
US6542991B1 (en) * 1999-05-11 2003-04-01 Sun Microsystems, Inc. Multiple-thread processor with single-thread interface shared among threads
US6986137B1 (en) 1999-09-28 2006-01-10 International Business Machines Corporation Method, system and program products for managing logical processors of a computing environment
US6493741B1 (en) * 1999-10-01 2002-12-10 Compaq Information Technologies Group, L.P. Method and apparatus to quiesce a portion of a simultaneous multithreaded central processing unit
US6738796B1 (en) * 1999-10-08 2004-05-18 Globespanvirata, Inc. Optimization of memory requirements for multi-threaded operating systems
US6889319B1 (en) * 1999-12-09 2005-05-03 Intel Corporation Method and apparatus for entering and exiting multiple threads within a multithreaded processor
US6671795B1 (en) 2000-01-21 2003-12-30 Intel Corporation Method and apparatus for pausing execution in a processor or the like
US7649901B2 (en) * 2000-02-08 2010-01-19 Mips Technologies, Inc. Method and apparatus for optimizing selection of available contexts for packet processing in multi-stream packet processing
US20010052053A1 (en) * 2000-02-08 2001-12-13 Mario Nemirovsky Stream processing unit for a multi-streaming processor
US6658449B1 (en) * 2000-02-17 2003-12-02 International Business Machines Corporation Apparatus and method for periodic load balancing in a multiple run queue system
US6957432B2 (en) * 2000-03-21 2005-10-18 Microsoft Corporation Real-time scheduler
US20010034751A1 (en) * 2000-04-21 2001-10-25 Shinichiro Eto Real-time OS simulator
US6668308B2 (en) * 2000-06-10 2003-12-23 Hewlett-Packard Development Company, L.P. Scalable architecture based on single-chip multiprocessing
US6480845B1 (en) * 2000-06-14 2002-11-12 Bull Hn Information Systems Inc. Method and data processing system for emulating virtual memory working spaces
US20020016869A1 (en) * 2000-06-22 2002-02-07 Guillaume Comeau Data path engine
US6591379B1 (en) * 2000-06-23 2003-07-08 Microsoft Corporation Method and system for injecting an exception to recover unsaved data
US6941353B1 (en) 2000-06-29 2005-09-06 Auran Holdings Pty Ltd E-commerce system and method relating to program objects
SE522271C2 (sv) * 2000-07-05 2004-01-27 Ericsson Telefon Ab L M Förfarande och anordning i kopplingsnod för ett telesystem
AU2001289045A1 (en) * 2000-09-08 2002-03-22 Avaz Networks Hardware function generator support in a dsp
US6728846B2 (en) * 2000-12-22 2004-04-27 Bull Hn Information Systems Inc. Method and data processing system for performing atomic multiple word writes
US6907520B2 (en) * 2001-01-11 2005-06-14 Sun Microsystems, Inc. Threshold-based load address prediction and new thread identification in a multithreaded microprocessor
US20020103847A1 (en) * 2001-02-01 2002-08-01 Hanan Potash Efficient mechanism for inter-thread communication within a multi-threaded computer system
US6643759B2 (en) * 2001-03-30 2003-11-04 Mips Technologies, Inc. Mechanism to extend computer memory protection schemes
US6671791B1 (en) * 2001-06-15 2003-12-30 Advanced Micro Devices, Inc. Processor including a translation unit for selectively translating virtual addresses of different sizes using a plurality of paging tables and mapping mechanisms
JP3702815B2 (ja) * 2001-07-12 2005-10-05 日本電気株式会社 プロセッサ間レジスタ継承方法及びその装置
JP3630118B2 (ja) * 2001-07-12 2005-03-16 日本電気株式会社 スレッド終了方法及び装置並びに並列プロセッサシステム
JP3702814B2 (ja) * 2001-07-12 2005-10-05 日本電気株式会社 マルチスレッド実行方法及び並列プロセッサシステム
US7185183B1 (en) * 2001-08-02 2007-02-27 Mips Technologies, Inc. Atomic update of CPO state
US7181600B1 (en) * 2001-08-02 2007-02-20 Mips Technologies, Inc. Read-only access to CPO registers
US7428485B2 (en) 2001-08-24 2008-09-23 International Business Machines Corporation System for yielding to a processor
US6779065B2 (en) * 2001-08-31 2004-08-17 Intel Corporation Mechanism for interrupt handling in computer systems that support concurrent execution of multiple threads
US7487339B2 (en) 2001-10-12 2009-02-03 Mips Technologies, Inc. Method and apparatus for binding shadow registers to vectored interrupts
US6877083B2 (en) 2001-10-16 2005-04-05 International Business Machines Corporation Address mapping mechanism for behavioral memory enablement within a data processing system
US7120762B2 (en) * 2001-10-19 2006-10-10 Wisconsin Alumni Research Foundation Concurrent execution of critical sections by eliding ownership of locks
US7428732B2 (en) * 2001-12-05 2008-09-23 Intel Corporation Method and apparatus for controlling access to shared resources in an environment with multiple logical processors
JP4054572B2 (ja) * 2001-12-17 2008-02-27 キヤノン株式会社 アプリケーション実行システム
US7127561B2 (en) * 2001-12-31 2006-10-24 Intel Corporation Coherency techniques for suspending execution of a thread until a specified memory access occurs
US20030126416A1 (en) * 2001-12-31 2003-07-03 Marr Deborah T. Suspending execution of a thread in a multi-threaded processor
US6925550B2 (en) * 2002-01-02 2005-08-02 Intel Corporation Speculative scheduling of instructions with source operand validity bit and rescheduling upon carried over destination operand invalid bit detection
US7216338B2 (en) * 2002-02-20 2007-05-08 Microsoft Corporation Conformance execution of non-deterministic specifications for components
US6922745B2 (en) * 2002-05-02 2005-07-26 Intel Corporation Method and apparatus for handling locks
US20040015684A1 (en) * 2002-05-30 2004-01-22 International Business Machines Corporation Method, apparatus and computer program product for scheduling multiple threads for a processor
US20030225816A1 (en) * 2002-06-03 2003-12-04 Morrow Michael W. Architecture to support multiple concurrent threads of execution on an arm-compatible processor
US20050033889A1 (en) * 2002-10-08 2005-02-10 Hass David T. Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip
US7334086B2 (en) * 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US6971103B2 (en) * 2002-10-15 2005-11-29 Sandbridge Technologies, Inc. Inter-thread communications using shared interrupt register
US7073042B2 (en) * 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US7203823B2 (en) * 2003-01-09 2007-04-10 Sony Corporation Partial and start-over threads in embedded real-time kernel
US7152170B2 (en) * 2003-02-20 2006-12-19 Samsung Electronics Co., Ltd. Simultaneous multi-threading processor circuits and computer program products configured to operate at different performance levels based on a number of operating threads and methods of operating
US6977093B2 (en) * 2003-03-24 2005-12-20 Hung Chien-Lung Health food processing process using germinated rice to make health food containing natural eatable fibers, GABA, IP6, and probiotic
EP1467282B1 (en) * 2003-04-09 2008-10-01 Jaluna SA Operating systems
US7093106B2 (en) * 2003-04-23 2006-08-15 International Business Machines Corporation Register rename array with individual thread bits set upon allocation and cleared upon instruction completion
US7424599B2 (en) * 2003-08-28 2008-09-09 Mips Technologies, Inc. Apparatus, method, and instruction for software management of multiple computational contexts in a multithreaded microprocessor
US7836450B2 (en) * 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US7870553B2 (en) * 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US9032404B2 (en) * 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7711931B2 (en) * 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7849297B2 (en) * 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
WO2005022384A1 (en) 2003-08-28 2005-03-10 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
US7594089B2 (en) * 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US6993598B2 (en) * 2003-10-09 2006-01-31 International Business Machines Corporation Method and apparatus for efficient sharing of DMA resource
US7558939B2 (en) * 2005-03-08 2009-07-07 Mips Technologies, Inc. Three-tiered translation lookaside buffer hierarchy in a multithreading microprocessor
US7600135B2 (en) * 2005-04-14 2009-10-06 Mips Technologies, Inc. Apparatus and method for software specified power management performance using low power virtual threads
US7627770B2 (en) * 2005-04-14 2009-12-01 Mips Technologies, Inc. Apparatus and method for automatic low power mode invocation in a multi-threaded processor
US7689867B2 (en) * 2005-06-09 2010-03-30 Intel Corporation Multiprocessor breakpoint
US7386636B2 (en) * 2005-08-19 2008-06-10 International Business Machines Corporation System and method for communicating command parameters between a processor and a memory flow controller
US7657683B2 (en) * 2008-02-01 2010-02-02 Redpine Signals, Inc. Cross-thread interrupt controller for a multi-thread processor

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08171494A (ja) * 1994-12-19 1996-07-02 Nec Corp マルチスレッドプロセッサ
JPH08249195A (ja) * 1995-02-03 1996-09-27 Internatl Business Mach Corp <Ibm> 命令スレッドを処理するための方法およびシステム
JPH08249183A (ja) * 1995-02-03 1996-09-27 Internatl Business Mach Corp <Ibm> 推論並列命令スレッドの実行
US5799188A (en) * 1995-12-15 1998-08-25 International Business Machines Corporation System and method for managing variable weight thread contexts in a multithreaded computer system
US5854922A (en) * 1997-01-16 1998-12-29 Ford Motor Company Micro-sequencer apparatus and method of combination state machine and instruction memory
JP2003029984A (ja) * 2001-07-12 2003-01-31 Nec Corp マルチスレッド実行方法及び並列プロセッサシステム
JP2003030050A (ja) * 2001-07-18 2003-01-31 Nec Corp マルチスレッド実行方法及び並列プロセッサシステム
US20030093652A1 (en) * 2001-11-14 2003-05-15 Song Seungyoon Peter Operand file using pointers and reference counters and a method of use
JP2003167748A (ja) * 2001-11-30 2003-06-13 Fujitsu Ltd マルチスレッド計算機

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8433884B2 (en) 2008-06-19 2013-04-30 Panasonic Corporation Multiprocessor
JP2010204874A (ja) * 2009-03-03 2010-09-16 Nec Corp スレッド制御システム、方法及びプログラム
WO2011155097A1 (ja) * 2010-06-11 2011-12-15 パナソニック株式会社 命令発行制御装置及び方法
JP2011258147A (ja) * 2010-06-11 2011-12-22 Panasonic Corp 命令発行制御装置及び方法
US9436464B2 (en) 2010-06-11 2016-09-06 Socionect Inc. Instruction-issuance controlling device and instruction-issuance controlling method
WO2012093488A1 (ja) * 2011-01-07 2012-07-12 富士通株式会社 スケジューリング方法、およびマルチコアプロセッサシステム
JP5780243B2 (ja) * 2011-01-07 2015-09-16 富士通株式会社 スケジューリング方法、およびマルチコアプロセッサシステム
US9367459B2 (en) 2011-01-07 2016-06-14 Fujitsu Limited Scheduling method and multi-core processor system

Also Published As

Publication number Publication date
JP2007504539A (ja) 2007-03-01
EP1660998A1 (en) 2006-05-31
JP4818918B2 (ja) 2011-11-16
US20100115243A1 (en) 2010-05-06
WO2005022381A2 (en) 2005-03-10
US7321965B2 (en) 2008-01-22
JP2007504536A (ja) 2007-03-01
US20050125795A1 (en) 2005-06-09
US7694304B2 (en) 2010-04-06
JP4740851B2 (ja) 2011-08-03
WO2005022381A3 (en) 2005-06-16
JP4818919B2 (ja) 2011-11-16
US7610473B2 (en) 2009-10-27
US20080140998A1 (en) 2008-06-12
US20050120194A1 (en) 2005-06-02
EP1658563B1 (en) 2013-06-05
US7676660B2 (en) 2010-03-09
EP1658563A1 (en) 2006-05-24
EP1660993B1 (en) 2008-11-19
US8145884B2 (en) 2012-03-27
US7424599B2 (en) 2008-09-09
EP1660993A2 (en) 2006-05-31
US20050125629A1 (en) 2005-06-09
US20050240936A1 (en) 2005-10-27
DE602004017879D1 (de) 2009-01-02

Similar Documents

Publication Publication Date Title
JP4818918B2 (ja) マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令
US7725689B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US8266620B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7376954B2 (en) Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US20050050305A1 (en) Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US20060161921A1 (en) Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
WO2005022384A1 (en) Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20061026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100615

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100909

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100916

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110802

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110831

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4818918

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees