JP2007504536A - 仮想プロセッサリソースの動的構成のための機構体 - Google Patents

仮想プロセッサリソースの動的構成のための機構体 Download PDF

Info

Publication number
JP2007504536A
JP2007504536A JP2006524900A JP2006524900A JP2007504536A JP 2007504536 A JP2007504536 A JP 2007504536A JP 2006524900 A JP2006524900 A JP 2006524900A JP 2006524900 A JP2006524900 A JP 2006524900A JP 2007504536 A JP2007504536 A JP 2007504536A
Authority
JP
Japan
Prior art keywords
virtual
resource
virtual processing
multiprocessor
context
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006524900A
Other languages
English (en)
Other versions
JP4740851B2 (ja
Inventor
キセル,ケビン
Original Assignee
ミップス テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/684,350 external-priority patent/US7376954B2/en
Priority claimed from US10/684,348 external-priority patent/US20050050305A1/en
Application filed by ミップス テクノロジーズ インコーポレイテッド filed Critical ミップス テクノロジーズ インコーポレイテッド
Priority claimed from PCT/US2004/027976 external-priority patent/WO2005022385A1/en
Publication of JP2007504536A publication Critical patent/JP2007504536A/ja
Application granted granted Critical
Publication of JP4740851B2 publication Critical patent/JP4740851B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30076Arrangements for executing specific machine instructions to perform miscellaneous control operations, e.g. NOP
    • G06F9/3009Thread control instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation
    • G06F8/4441Reducing the execution time required by the program code
    • G06F8/4442Reducing the number of cache misses; Data prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/54Interprogram communication

Abstract

装置は、仮想マルチプロセッサコンテキスト、1つまたは複数の仮想処理要素コンテキスト、および構成論理を含む。仮想マルチプロセッサコンテキストは、リソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する。1つまたは複数の仮想処理要素コンテキストそれぞれは、1つまたは複数の仮想処理要素のうちの1つに排他的に対応する。1つまたは複数の仮想処理要素コンテキストそれぞれは、1つまたは複数の仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを規定する第1の論理と、1つまたは複数の仮想処理要素のうちの前記1つに割り振られるリソースのサブセットを規定する第2の論理とを有する。構成論理は、仮想マルチプロセッサコンテキストおよび1つまたは複数の仮想処理要素コンテキストに結合される。構成論理は、1つまたは複数の仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによってリソースを構成する。

Description

本願は、すべての意図および目的のために参照により本明細書にそれぞれが組み込まれている次の米国仮特許出願の恩恵を主張するものである。
Figure 2007504536
本願は、すべての意図および目的のために参照により本明細書にそれぞれが組み込まれている、それぞれが本願と同一の譲受人および少なくとも1人の本願と同一の発明人を有する次の同時係属の米国非仮特許出願の一部継続出願である。
Figure 2007504536
上記の同時係属の米国非仮特許出願の両方が、次の米国仮特許出願の恩恵を主張するものである。
Figure 2007504536
本願は、すべての意図および目的のために参照により本明細書にそれぞれが組み込まれている、次の同時係属の米国非仮特許出願に関連する。
Figure 2007504536
本発明は、全般的に仮想マルチプロセッサの分野に関し、具体的には、1つまたは複数の仮想処理要素間での仮想マルチプロセッサ内のリソースの動的構成を提供する機構体に関する。
現在の設計者は、多数の技術を使用してマイクロプロセッサ性能を高めている。ほとんどのマイクロプロセッサが、固定された周波数で動作するクロック信号を使用して動作する。各クロックサイクルで、マイクロプロセッサの回路が、それぞれの機能を実行する。ヘネシ(Hennessy)およびパターソン(Patterson)によれば、マイクロプロセッサの性能の真の尺度は、1つのプログラムまたはプログラムの集合を実行するのに必要な時間である。この観点から、マイクロプロセッサの性能は、そのクロック周波数、1つの命令を実行するのに必要なクロックサイクル数の平均値(言い換えると、クロックサイクルあたりに実行される命令の個数の平均値)、およびプログラムまたはプログラムの集合で実行される命令の個数に応じる。半導体の科学者およびエンジニアは、マイクロプロセッサがますます高いクロック周波数で動作することを可能にする、当技術分野での進歩を提供し続ける。これらの進歩は、主に、トランジスタサイズの縮小を可能にし、この縮小は、それから設計される集積回路でのより高速のスイッチング時間をもたらす。実行される命令の個数は、主に、プログラムによって実行されるタスクによって固定されているが、マイクロプロセッサの命令セットアーキテクチャによっても影響を受ける。しかし、大きい性能向上が、クロックサイクルあたりの命令を改善するアーキテクチャ的技術および編成技術、特に命令の並列実行(すなわち、「並列性」)を可能にする技術によって実現されてきた。
マイクロプロセッサのクロックサイクルあたりの命令ならびにそのクロック周波数を改善した並列性技術の1つが、パイプライン化である。パイプライン化は、組立ラインのステージに実質的に似た形で、マイクロプロセッサのパイプラインステージ内で複数の命令の実行をオーバーラップさせる。理想的な状況で、各クロックサイクルに、1つの命令がパイプラインで新しいステージに移動し、この新しいステージは、その命令に対して異なる機能を実行する。したがって、各個々の命令は、完了に複数のクロックサイクルを要するが、個々の命令の複数のサイクルがオーバーラップしているので、命令ごとの平均クロック数が減る。パイプライン化の性能改善は、プログラム内の命令がそれを許容する範囲まで、すなわち、命令が実行のためにその先行命令に依存せず、したがってその先行命令と並列に実行できる(一般に、これを命令レベル並列性と称する)範囲まで実現することができる。命令レベル並列性が、現代のマイクロプロセッサによって活用されるもう1つの形が、同一クロックサイクル中の異なる機能ユニットへの実行のための複数の命令の発行であり、これらの機能ユニットは、それぞれ、そのクロックサイクル中に、それにあてられた命令を実行する。この形で命令レベル並列性を達成するマイクロプロセッサを、一般に、「スーパースカラ」マイクロプロセッサと称する。
上で述べた並列性機構は、個々の命令レベルでの並列性に関する。しかし、命令レベル並列性の活用を介して達成できる性能改善は、限られている。制限された命令レベル並列性によって課せられるさまざまな制約および他の性能を制約する問題が、最近、命令のブロック、シーケンス、ストリーム、またはスレッドのレベルでの並列性活用への関心を復活させた。このレベルの並列性を、一般に、スレッドレベル並列性と称する。スレッドとは、単に、プログラム命令のシーケンスまたはストリームである。マルチスレッド化されたマイクロプロセッサ(multithreaded microprocessor)は、インターリーブマルチスレッディング、ブロックドマルチスレッディング、または同時マルチスレッディングなど、さまざまなスレッドの命令のフェッチおよび発行を指図する、あるスケジューリングポリシに従って複数のスレッドを並行して実行する。マルチスレッド化されたマイクロプロセッサは、通常、複数のスレッドが、並行した形でマイクロプロセッサの機能ユニット(たとえば、命令フェッチおよびデコードユニット、キャッシュ、分岐予測ユニット、およびロード/ストア、整数、浮動小数点、SIMDなどの実行ユニット)を共用することを可能にする。しかし、マルチスレッド化されたマイクロプロセッサには、命令をフェッチしかつ発行するように、スレッドの間ですばやく切り替える能力を促進するために、各スレッドの独自の状態を保管する、ハードウェア/ファームウェアリソースまたはスレッドコンテキストの複数の組が含まれる。たとえば、各スレッドコンテキストは、命令フェッチのためにそれ自体のプログラムカウンタおよびスレッド識別情報を含み、通常は、それ自体の汎用レジスタセットも含む。
マルチスレッディングマイクロプロセッサによって対処される、性能を制約する問題の一例が、キャッシュミスに起因して実行されなければならない、マイクロプロセッサの外部のメモリへのアクセスが、通常は比較的長い待ち時間を有するという事実である。現代のマイクロプロセッサベースのコンピュータシステムのメモリアクセス時間は、一般に、キャッシュヒットアクセス時間より1桁から2桁長い。その結果、パイプラインがメモリからのデータを待って失速している間に、シングルスレッドマイクロプロセッサのパイプラインステージの一部またはすべてが、アイドルになり、多数のクロックサイクルの間に有用な作業を実行しない場合がある。マルチスレッド化されたマイクロプロセッサは、メモリフェッチ待ち時間中に他のスレッドからの命令を発行し、これによって、ページフォールトに応答してタスク切り替えを実行するオペレーティングシステムに多少似ているがそれより微細な細分性レベルで、パイプラインステージが有用な作業を実行して前進することを可能にすることによって、この問題を軽減することができる。性能を制約する問題の他の例が、分岐誤予測および付随するパイプラインフラッシュに起因する、データ依存性に起因する、または除算命令などの長い待ち時間の命令に起因する、パイプライン失速およびそれに付随するアイドルサイクルである。やはり、そうでなければアイドルになるはずのパイプラインステージに、他のスレッドからの命令を発行するマルチスレッド化されたマイクロプロセッサの能力は、スレッドを含むプログラムまたはプログラムの集合を実行するのに必要な時間を大きく減らすことができる。もう1つの問題、特に組込みシステムにおける問題が、割込みサービスに関連する、浪費されるオーバーヘッドである。通常、入出力デバイスが、マイクロプロセッサに割込みイベントを信号で伝える時に、そのマイクロプロセッサは、割込みサービスルーチンに制御を切り替えるが、この切り替えは、現在のプログラム状態を保存し、割込みをサービスし、割込みがサービスされた後に現在のプログラム状態を復元することを必要とする。マルチスレッド化されたマイクロプロセッサは、イベントサービスコードが、それ自体のスレッドコンテキストを有するそれ自体のスレッドになる能力を提供する。その結果、入出力デバイスがイベントを信号で伝えることに応答して、マイクロプロセッサは、すばやく(おそらく単一のクロックサイクルで)イベントサービススレッドに切り替えることができ、これによって、従来の割込みサービスルーチンオーバーヘッドをこうむらなくなる。
命令レベル並列性の度合が、マイクロプロセッサがパイプライン化およびスーパースカラ命令発行の利益を利用できる範囲を指定するのと同様に、スレッドレベル並列性の度合は、マイクロプロセッサがマルチスレッド化された実行を利用できる範囲を指定する。スレッドの重要な特性が、マルチスレッド化されたマイクロプロセッサで実行される他のスレッドとは無関係であることである。スレッドは、その命令が他のスレッドの命令に依存しない範囲まで、別のスレッドとは無関係である。スレッドの独立の特性は、マイクロプロセッサがさまざまなスレッドの命令を並行して実行することを可能にする。すなわち、マイクロプロセッサは、他のスレッドの発行される命令にかかわりなく、あるスレッドの命令を実行ユニットに発行することができる。スレッドが共通のデータにアクセスする範囲まで、スレッド自体は、互いにデータアクセスを同期化するようにプログラムされて、正しい動作を保証し、マイクロプロセッサ命令発行ステージが、依存性を気にかける必要をなくさなければならない。
前述から観察できるように、複数のスレッドを並行して実行する複数のスレッドコンテキストを有するプロセッサは、複数のスレッドを含むプログラムまたはプログラムの集合を実行するのに必要な時間を減らすことができる。しかし、複数のスレッドコンテキストの導入は、特にシステムソフトウェアに関して、複数の命令ストリームおよびそれらに関連するスレッドコンテキストを管理するという新しい問題の組も導入する。また、本発明人は、マイクロプロセッサでの命令実行に関連する並列性を改善するのに必要なもう1つのレベルに気付いた。これおよび関連する応用に関して、本発明人は、同一マイクロプロセッサ内での仮想処理要素の提供で対処する。このレベルでは、マルチスレッド化された仮想処理要素は、プログラムスレッドの効果的な切り替えを保証するために、複数のプログラムカウンタおよびスレッドコンテキストを実装することに加えて、所与の命令セットの単一のインスタンス化を提供するのに必要なリソースのすべて、およびプロセッサごとのオペレーティングシステムイメージを実行するのに十分な特権リソースアーキテクチャを実装する。効果的に、N個の仮想処理要素を実装するマイクロプロセッサ(すなわち、N個の仮想処理要素を有する「仮想マルチプロセッサ」)は、オペレーティングシステムソフトウェアからはNウェイ対称マルチプロセッサとして見える。本発明による仮想マルチプロセッサと、従来の対称マルチプロセッサの間の物理的な相違は、メモリおよびあるレベルの接続性の共用に加えて、仮想マルチプロセッサ内の仮想処理要素が、たとえば命令フェッチおよび発行論理、アドレス変換論理(たとえば、変換ルックアサイドバッファ論理)、整数ユニット、浮動小数点ユニット、マルチメディアユニット、メディアアクセラレーションユニット、およびSIMDユニットなどの機能ユニット、ならびにコプロセッサなどの、仮想マルチプロセッサのオンチップリソースまたは属性も共用することである。さらに、仮想処理ユニットは、仮想マルチプロセッサの性能属性または利用態様(たとえば、「帯域幅」)も共用しなければならず、これは、主に、仮想処理要素のそれぞれに割り振られるスレッドの個数、1つの仮想処理要素に関連するスレッドが、実行が要求された時に他の仮想処理要素に関連するスレッドに対して優先権を得られる範囲、および仮想処理要素へのあるプロセッサ全体のリソース(たとえば、ロード/ストアバッファ)の割振りに基づいて決定される。たとえば、2つの別個の種類の処理、すなわち、オーディオデータまたはビデオデータのリアルタイム圧縮とグラフィカルユーザインターフェースの動作が行われている組込みシステムを検討されたい。20世紀末の技術を使用すれば、これらのタスクを、2つの異なるプロセッサ、すなわち、マルチメディアデータを処理するリアルタイムディジタル信号プロセッサ、およびマルチタスキングオペレーティングシステムを実行する対話型プロセッサコアを使用することによって達成することができる。それでも、本発明は、この2つの機能を、同一の仮想マルチプロセッサで実行することを可能にする。仮想マルチプロセッサの2つの仮想処理要素、すなわち、マルチメディア処理タスクの実行専用の仮想処理要素、およびユーザインターフェースタスクの実行専用の仮想処理要素が使用される。2つの仮想処理要素を使用することによって、2つの異なるソフトウェアパラダイムの共存または同時インスタンス化という問題が解決されるが、専用プロセッサと同一の形ではリアルタイム性能要件が保証されない。というのは、マルチメディア仮想処理要素およびユーザインターフェース仮想処理要素が、仮想マルチプロセッサ内のあるリソースを共用しなければならず、仮想マルチプロセッサで実行されるアプリケーションの性能が、上で述べたように、これらのリソースまたは属性が、その中の仮想処理要素のそれぞれにどのように割り振られるかに基づくからである。
特定のマルチプロセッシング応用に合わせて正確に調整されたリソースを有する仮想マルチプロセッサを製造することは、マルチプロセッシング応用が、非常に幅広く多様なリソース要件の組を示す市場では過度にコスト効率が悪い。したがって、本発明人は、この広範囲のマルチプロセッシング応用にまたがって使用されることができる、仮想マルチプロセッサを提供することが非常に望ましいことに気が付いた。本発明人は、さらに、仮想マルチプロセッサに、ソフトウェアによってその中のさまざまな仮想処理要素へのリソースを構成する機構体が含まれることが望ましいことに気付いた。そのような機構体は、それぞれが1つまたは複数のスレッドを実行するように構成される1つまたは複数の仮想処理要素を有するように、仮想マルチプロセッサを構成することを可能にしなければならない。さらに、実行時に信頼される仮想処理要素によってリソースを動的に構成可能であることが望ましく、さらに、構成特権を取り消す機構体を設けることが望ましい。
本発明は、応用の中でも、上で述べた問題を解決し、従来技術の他の問題、短所、および制限に対処することを対象とする。本発明は、仮想マルチプロセッサのリソースを動的に構成するための優れた機構体を提供する。一実施形態で、仮想マルチプロセッサ内の1つまたは複数の仮想処理要素についてリソースを構成する装置を提供する。この装置は、仮想マルチプロセッサコンテキスト、1つまたは複数の仮想処理要素コンテキスト、および構成論理を含む。仮想マルチプロセッサコンテキストは、リソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する。1つまたは複数の仮想処理要素コンテキストそれぞれは、1つまたは複数の仮想処理要素のうちの1つに排他的に対応する。1つまたは複数の仮想処理要素コンテキストそれぞれは、1つまたは複数の仮想処理要素のうちの1つが、リソースを構成することを許可されるかどうかを規定する第1の論理と、1つまたは複数の仮想処理要素のうちの前記1つに割り振られるリソースのサブセットを規定する第2の論理とを有する。構成論理は、仮想マルチプロセッサコンテキストおよび1つまたは複数の仮想処理要素コンテキストに結合される。構成論理は、1つまたは複数の仮想処理要素の1つが、リソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによってリソースを構成する。
本発明の一態様は、仮想マルチプロセッサ内の仮想処理要素にリソースを割り当てるリソース構成機構体を想定する。このリソース構成機構体は、仮想マルチプロセッサレジスタ、仮想処理要素ごとの仮想処理要素レジスタ、および構成論理を有する。仮想マルチプロセッサレジスタは、リソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する。仮想処理要素レジスタは、対応する仮想処理要素がリソースを割り当てることを許可されるかどうかを規定し、かつ対応する仮想処理要素に割り振られるリソースのサブセットを規定する。構成論理は、仮想マルチプロセッサレジスタおよび仮想処理要素レジスタに結合される。構成論理は、対応する仮想処理要素がリソースを割り当てることを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサレジスタを更新し、かつ仮想処理要素レジスタのうちの選択された1つを更新することによってリソースを割り当てる。
本発明のもう1つの態様は、コンピューティングデバイスと共に使用されるコンピュータプログラム製品を包含する。このコンピュータプログラム製品は、コンピュータ使用可能媒体を含み、コンピュータ使用可能媒体は、コンピュータ使用可能媒体に実施されるコンピュータ可読プログラムコードを有し、かつ仮想マルチプロセッサ内の仮想処理要素についてリソースを構成する装置を記述するように構成される。このコンピュータ可読プログラムコードは、第1のプログラムコード、第2のプログラムコード、および第3のプログラムコードを有する。第1のプログラムコードは、仮想マルチプロセッサコンテキストを記述する。仮想マルチプロセッサコンテキストは、リソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する。第2のプログラムコードは、仮想処理要素コンテキストを記述し、この仮想処理要素コンテキストそれぞれは、仮想処理要素の1つに排他的に対応し、仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを規定し、かつ前記仮想処理要素の1つに割り振られるリソースのサブセットを規定する。第3のプログラムコードは、構成論理を記述する。構成論理は、仮想マルチプロセッサコンテキストおよび仮想処理要素コンテキストに結合される。構成論理は、仮想処理要素の1つがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによってリソースを構成する。
もう1つの態様で、本発明は、伝送媒体で実施されるコンピュータデータ信号を想定する。このコンピュータデータ信号は、コンピュータ可読プログラムコードを有し、コンピュータ可読プログラムコードは、仮想マルチプロセッサ内の仮想処理要素についてリソースを構成する装置を記述するように構成される。このコンピュータ可読プログラムコードは、第1のプログラムコード、第2のプログラムコード、および第3のプログラムコードを含む。第1のプログラムコードは、仮想マルチプロセッサコンテキストを記述し、この仮想マルチプロセッサコンテキストは、リソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する。第2のプログラムコードは、仮想処理要素コンテキストを記述し、この仮想処理要素コンテキストそれぞれは、仮想処理要素の1つに排他的に対応し、仮想処理要素の1つがリソースを構成することを許可されるかどうかを規定し、かつ前記仮想処理要素の1つに割り振られるリソースのサブセットを規定する。第3のプログラムコードは、構成論理を記述し、この構成論理は、仮想マルチプロセッサコンテキストおよび仮想処理要素コンテキストに結合される。構成論理は、仮想処理要素の1つがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによってリソースを構成する。
もう1つの態様で、本発明は、仮想マルチプロセッサ内の仮想処理要素に関してリソースを構成する方法を実施する。この方法には、仮想マルチプロセッサコンテキストを介して、リソースを第1に規定し、かつ仮想マルチプロセッサの構成状態を制御することと、それぞれが仮想処理要素のうちの1つに排他的に対応する仮想処理要素コンテキストを介して、仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを第2に規定し、かつ仮想処理要素のうちの1つに割り振られるリソースのサブセットを第3に規定することと、仮想マルチプロセッサコンテキストおよび仮想処理要素コンテキストに結合された構成論理を介して、仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが前記構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを第1に更新し、かつ規定された仮想処理要素コンテキストを第2に更新することによってリソースを構成することとが含まれる。
もう1つの態様で、本発明は、仮想マルチプロセッシングシステムを包含する。この仮想マルチプロセッシングシステムは、メモリおよび仮想マルチプロセッサを有する。メモリは、複数のプログラムスレッドに関連するプログラム命令を保管する。仮想マルチプロセッサは、メモリに結合される。仮想マルチプロセッサは、仮想マルチプロセッサ内で構成された1つまたは複数の仮想処理要素でプログラム命令を実行する。仮想マルチプロセッサは、1つまたは複数の仮想処理要素の構成についてリソースを規定し、かつ仮想マルチプロセッサの構成状態を制御する、仮想マルチプロセッサコンテキストを有する。1つまたは複数の仮想処理要素それぞれは、仮想処理要素コンテキストおよび構成論理を含む。仮想処理要素コンテキストは、1つまたは複数の仮想処理要素それぞれがリソースを構成することを許可されるかどうかを規定し、かつ1つまたは複数の仮想処理要素のうちの規定された1つに割り振られるリソースのサブセットを規定する。構成論理は、仮想マルチプロセッサコンテキストおよび仮想処理要素コンテキストに結合される。構成論理は、1つまたは複数の仮想処理要素それぞれがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを更新し、かつ1つまたは複数の仮想処理要素のうちの規定された1つに対応する規定された仮想処理要素コンテキストを更新することによってリソースを構成する。
本発明の上記および他の目的、特徴、および利点は、以下の記載および添付図面に関してよりよく理解される。
以下の記載は、当業者が、特定の応用およびその要件の文脈で提供されるとして本発明を作り、かつ使用することを可能にするために、提示されるものである。しかし、好ましい実施形態に対するさまざまな変更が、当業者に明白であり、本明細書で規定される全般的な原理は、他の実施形態に適用することができる。したがって、本発明は、本明細書で示され、かつ説明される特定の実施形態に制限されることを意図されてはおらず、本明細書で開示される原理および新規な特徴と一貫する最も広い範囲を与えられなければならない。現在のプロセッサで使用される並列性と、それに関連するマルチスレッディング技術およびマルチプロセッシング技術に関する上の背景の説明を考慮して、本発明の議論を、これから図1〜図7を参照して提示する。
図1を参照すると、本発明によるマルチプロセッシング環境100を示すブロック図が示されている。マルチプロセッシング環境100は、システムインターフェースコントローラ105に結合された仮想マルチプロセッサ101を含む。システムインターフェースコントローラ105は、システムメモリ106、および1つまたは複数の入出力(I/O)デバイス107に結合される。I/Oデバイス107それぞれは、割込み要求ライン108を仮想マルチプロセッサ101に提供する。仮想マルチプロセッサ101は、1つまたは複数の仮想処理要素102を含む。1つまたは複数の仮想処理要素102それぞれが、対応する仮想処理要素コンテキスト104、および1つまたは複数の対応するスレッドコンテキスト103を有する。マルチプロセッシング環境100は、汎用プログラマブルコンピュータシステム、サーバコンピュータ、ワークステーションコンピュータ、パーソナルコンピュータ、ノートブックコンピュータ、携帯情報端末(PDA)、または、ネットワークルータまたはスイッチ、プリンタ、マスストレージコントローラ、カメラ、スキャナ、自動車コントローラ、および類似物を含むがこれらに制限されない組込みシステムとすることができるが、これらに制限はされない。
システムメモリ106は、仮想マルチプロセッサ101での実行のためにプログラム命令を保管し、かつプログラム命令に従って仮想マルチプロセッサ101によって処理されるデータを保管する、RAMメモリまたはROMメモリなどのメモリとして実施することができる。プログラム命令は、仮想マルチプロセッサ101が並行して実行する1つまたは複数のプログラムスレッドを含むことができる。プログラムスレッドまたはスレッドは、プログラム命令のシーケンスまたはストリームと、命令のシーケンスの実行に関連する仮想マルチプロセッサ101内の対応する仮想処理要素102での状態変化の関連するシーケンスとを含む。各スレッドコンテキスト103は、対応するプログラムスレッドの実行をサポートするのに必要なハードウェア状態を含む。一実施形態で、各スレッドコンテキストは、汎用レジスタの組、プログラムカウンタ、ならびに乗算器状態およびコプロセッサ状態などの実行中のスレッドの状態を保存する他のレジスタを含む。仮想処理要素102それぞれが、プロセッサごとのオペレーティングシステムイメージの実行に十分な、命令セットアーキテクチャ全体および特権リソースアーキテクチャのインスタンス化をサポートするリソースを提供する。一実施形態で、仮想処理要素102それぞれが、MIPS32/MIPS64命令セットアーキテクチャ全体および特権リソースアーキテクチャのインスタンス化をサポートするリソースを提供する。仮想処理要素コンテキスト104それぞれが、対応する仮想処理要素102内で実行されるスレッドの実行をサポートするのに必要なハードウェア状態を含む。一実施形態で、仮想処理要素コンテキスト104それぞれが、アドレス変換論理リソース(たとえば、変換ルックアサイドバッファエントリ)、機能ユニット(たとえば、整数ユニット、浮動小数点ユニット、マルチメディアユニットおよびメディアアクセラレーションユニット、SIMDユニット、コプロセッサ)、および性能属性など、対応する仮想処理要素102に割り振られるリソースを規定する。特定の実施形態で、性能属性は、他の仮想処理要素102に割り振られるリソースを停止させかつ構成することの許可、スレッドの個数および列挙、対応する仮想処理要素102のイネーブル/抑止、ならびに対応する仮想処理要素102に割り振られる仮想マルチプロセッサ101の帯域幅関連リソース(たとえば、命令実行帯域幅または優先順位、ロード/ストア帯域幅など)を含む。本発明は、スケジューリングヒント、実行優先順位割り当て、ロード/ストアバッファ割振り、および類似物を含むために、さまざまな帯域幅割振り技術を包含する。
システムインターフェースコントローラ105は、仮想マルチプロセッサ101をシステムインターフェースコントローラ105に結合するプロセッサバスを介して、仮想マルチプロセッサ101とインターフェースする。一実施形態で、システムインターフェースコントローラ105は、システムメモリ106を制御するメモリコントローラを含む。一実施形態で、システムインターフェースコントローラ105は、I/Oデバイス107が結合される、PCIバスなどのローカルバスを提供するローカルバスインターフェースコントローラを含む。
I/Oデバイス107は、キーボード、マウス、スキャナ、および類似物などのユーザ入力デバイス、モニタ、プリンタ、および類似物などのディスプレイデバイス、ディスクドライブ、テープドライブ、光ドライブ、および類似物などのストレージデバイス、ダイレクトメモリアクセスコントローラ(DMAC)、クロック、タイマ、I/Oポート、および類似物などのシステム周辺デバイス、Ethernet(登録商標)、FibreChannel、Infiniband、または他の高速ネットワークインターフェース用のメディアアクセスコントローラ(MAC)などのネットワークデバイス、アナログディジタル(A/D)変換器およびディジタルアナログ変換器などのデータ変換デバイスなどを含むことができるが、これらに制限はされない。I/Oデバイス107は、サービスを要求するために仮想マルチプロセッサ101への割込み信号108を生成する。有利なことに、仮想マルチプロセッサ101は、マイクロプロセッサ102の状態保存に関連する従来のオーバーヘッドを必要とせずに、割込み要求ライン108で示されたイベントを処理するために、複数のプログラムスレッドを並行に実行し、制御を割込みサービスルーチンに移し、割込みサービスルーチンの完了時に状態を復元することができる。
一実施形態で、仮想マルチプロセッサ101は、2つの別個であるが相互に排他的なマルチスレッディング機能を提供する。第1に、仮想マルチプロセッサは、対応する1つまたは複数の論理プロセッサコンテキストをサポートするための1つまたは複数の仮想処理要素(VPE)102を含み、このVPE102それぞれは、仮想マルチプロセッサ101内のリソースの共用を介して、オペレーティングシステムには独立の処理要素として見える。オペレーティングシステムにとって、N個のVPE102を有する仮想マルチプロセッサ101は、Nウェイ対称マルチプロセッサ(SMP)のように見え、これによって、既存のSMP対応オペレーティングシステムが、1つまたは複数のVPE102を管理できるようになる。第2に、各VPE102は、対応する1つまたは複数のプログラムスレッドを同時に実行するための1つまたは複数のスレッドコンテキスト103を含むこともできる。その結果、本発明による仮想マルチプロセッサ101は、通常の場合に、オペレーティングシステム介入なしでプログラムスレッドを作成できかつ破棄でき、外部条件(たとえば、入出力サービスイベント信号)に応答して、最小化された割込み待ち時間でシステムサービススレッドをスケジューリングできる、マルチスレッド化されたプログラミングモデルも提供する。
一実施形態で、スレッドコンテキスト103それぞれは、対応するスレッドの実行の状態を記述するフィールド(たとえば、ビット)をその中に有する、レジスタまたはラッチなどの1つまたは複数のストレージ要素を含む。すなわち、所与のスレッドコンテキスト103は、仮想処理要素102で並行に実行されている実行の他のスレッドと共用される状態ではなく、そのスレッドに一意の、そのそれぞれのスレッドの状態を記述する。スレッド(本明細書ではプログラムスレッド、実行のスレッド、または命令ストリームとも称する)は、命令のシーケンスである。仮想処理要素102それぞれが、複数のスレッドを並行して実行することができる。スレッドコンテキスト103に各スレッドの状態を保管することによって、仮想マルチプロセッサ101内の仮想処理要素102それぞれが、命令をフェッチしかつ発行するために、スレッド間ですばやく切り替えるように構成される。有利なことに、本発明の仮想マルチプロセッサ101は、上で参照によって本明細書に組み込まれた、同時係属の米国特許出願第___号(整理番号MIPS.0194−00−US)、名称「MECHANISMS FOR SOFTWARE MANAGEMENT OF MULTIPLE COMPUTATIONAL CONTEXTS」に記載のように、さまざまなスレッドコンテキスト103の間でスレッドコンテキスト情報を移動するために命令を実行するように構成される。
一実施形態で、VPEコンテキスト104それぞれは、対応するVPE102の実行の状態を記述するフィールド(たとえば、ビット)をその中に有し、アドレス変換リソース、コプロセッシングリソース(たとえば、浮動小数点プロセッサ、メディアプロセッサなど)、スレッド容量および列挙、指定されたVPE102の実行のイネーブル/抑止の許可、および指定されたVPE102のリソースを構成する許可など(これらに制限はされない)、対応するVPE102のリソースの構成を提供する、レジスタまたはラッチなどのストレージ要素の集合を含む。一実施形態で、VPE102が、そのVPEコンテキスト104を更新することによって、それ自体のリソースを構成することができる。代替案では、VPE102が、異なるVPE102に対応するVPEコンテキスト104を更新することによって、その異なるVPE102のリソースを構成することができる。その結果、N個のVPE102を有する仮想マルチプロセッサ101が、オペレーティングシステムまたは他の対称マルチプロセッシングアプリケーションに、Nウェイ対称マルチプロセッサとして見える。一実施形態で、VPE102は、命令キャッシュ、命令フェッチャ、命令デコーダ、命令イシュア(instruction issuer)、命令スケジューラ、実行ユニットおよびコプロセッシングユニット、ならびにデータキャッシュなどの、仮想マルチプロセッサ101内の特定のリソースを、オペレーティングシステムから透過的に共用する。リソースが共用される度合および範囲は、VPEコンテキスト104によって規定され、実行時にまたは他の時に、VPEコンテキスト104を更新することによって動的に構成されることができる。所与のVPE102が、それ自体のリソースまたは異なるVPE102に割り当てられたリソースを構成するためには、下で詳細に説明するように、その所与のVPE102が仮想マルチプロセッサ101のリソースを構成することを許可されることを、それ自体のVPEコンテキスト104が規定していなければならない。したがって、所与のVPE102のVPEコンテキスト104が、その所与のVPE102がリソースを構成することを許可されることを示す場合に、その所与のVPE102は、構成許可を取り消す能力を含むリソース構成許可の変更を含めて、動的リソース構成を提供するために、すべてのVPEコンテキスト104を更新することができる。一実施形態で、各VPE102は、MIPS32またはMIPS64の命令セットアーキテクチャ(ISA)、およびMIPS Privileged Resource Architecture(PRA)に実質的に準拠し、VPEコンテキスト104それぞれは、MIPS PRA Coprocessor 0およびそのインスタンス化を記述するのに必要なシステム状態を含む。一実施形態で、VPEコンテキスト106は、以下で説明する図5D〜図5GのVPECONTROLレジスタ504、VPECONF0レジスタ505、VPECONF1レジスタ506、およびVPESCHEDULEレジスタ592を含む。1つの点で、VPE102を、例外ドメインとみなすことができる。すなわち、VPE102のスレッドコンテキスト103のうちの1つが、例外を生成した時に、マルチスレッディングが、そのVPE102で中断され(すなわち、例外をサービスするスレッドコンテキスト104に関連する命令ストリームの命令だけが、フェッチされかつ発行され)、各VPEコンテキスト104が、例外をサービスするのに必要な状態を含む。例外がサービスされたならば、例外ハンドラが、VPE102でのマルチスレッディングを選択的に再イネーブルすることができる。
図2に移ると、本発明による仮想マルチプロセッサ内の仮想マルチプロセッサパイプライン200を示すブロック図が示されている。パイプライン200は、複数のパイプラインステージを含み、さらに、1つまたは複数のスレッドコンテキスト103を含む。図2の例示的実施形態には、4つのスレッドコンテキスト103が示されている。一実施形態で、各スレッドコンテキスト103は、関連する命令ストリーム内の次の命令をフェッチするアドレスを保管するプログラムカウンタ(PC)222、プログラムカウンタ222値に基づいて、スレッドから発行された命令ストリームの中間実行結果を保管する汎用レジスタ(GPR)セット224、および他のスレッドごとのコンテキスト226を含む。一実施形態で、パイプライン200は、乗算器ユニット(図示せず)を含み、他のスレッドコンテキスト226は、命令ストリーム内の乗算命令に特に関連する乗算器ユニットの結果を保管するレジスタを含む。一実施形態で、他のスレッドコンテキスト226は、各スレッドコンテキスト103を一意に識別する情報を含む。一実施形態で、このスレッド識別情報は、スレッドがカーネルスレッド、スーパーバイザスレッド、またはユーザレベルスレッドのどれであるかなど、関連するスレッドの実行特権レベルを指定する情報を含む。一実施形態で、このスレッド識別情報は、そのスレッドを含むタスクまたはプロセスを識別する情報を含む。具体的に言うと、このタスク識別情報は、物理アドレスを仮想アドレスに変換するためのアドレス空間識別子(ASID)として使用されることができる。
パイプライン200は、仮想マルチプロセッサ100によって並行に実行されているさまざまなスレッドの実行をスケジューリングするスケジューラ216を含む。スケジューラ216は、VMPコンテキスト210、図1のVPEコンテキスト104、および他のスレッドごとのコンテキスト226に結合される。具体的に言うと、スケジューラ216は、以下で説明するように、さまざまなスレッドコンテキスト104のプログラムカウンタ222からの命令のフェッチをスケジューリングし、かつ仮想マルチプロセッサ100の実行ユニット212へのフェッチされた命令の発行をスケジューリングする責任を負う。スケジューラ216は、仮想マルチプロセッサ100のスケジューリングポリシに基づいて、スレッドの実行をスケジューリングする。スケジューリングポリシは、以下のスケジューリングポリシのどれであっても含むことができるが、これらに制限はされない。一実施形態で、スケジューラ216は、各準備のできているスレッドに回転する順序で所定の個数のクロックサイクルまたは命令発行スロットを割り振る、ラウンドロビン、時分割多重、またはインターリーブ式のスケジューリングポリシを使用する。ラウンドロビンポリシは、公平さが重要であり、かつリアルタイムアプリケーションプログラムなど、最小量のサービスがあるスレッドについて必要である応用に有用である。一実施形態で、スケジューラ216は、ブロッキングスケジューリングポリシを使用し、この場合に、スケジューラ216は、キャッシュミス、分岐誤予測、データ依存性、または長い待ち時間の命令など、スレッドのそれ以上の進行をブロックするイベントが発生するまで、現在実行されているスレッドのフェッチおよび発行のスケジューリングを続ける。一実施形態で、パイプライン200は、複数の実行ユニット212を使用するスーパースカラパイプラインを含み、スケジューラ216は、一般に同時マルチスレッディングと称する、クロックサイクルごとに複数の命令の発行、具体的には、クロックサイクルごとに複数のスレッドからの命令の発行をスケジューリングする。もう1つの実施形態で、スケジューラ216は、VPEコンテキスト104を介して供給されるスケジューリング情報を利用するスケジューリングポリシを使用し、ここで、このスケジューリング情報は、VPE102それぞれに割り振られる帯域幅および/または帯域幅関連リソースを示す。
パイプライン200は、システムメモリからフェッチされたプログラム命令をキャッシングする命令キャッシュ202を含む。一実施形態で、パイプライン200は、仮想メモリ性能を提供し、フェッチユニット204は、物理メモリページから仮想メモリページへの変換をキャッシングする変換ルックアサイドバッファ(図示せず)を含む。この実施形態では、変換ルックアサイドバッファ内のリソース(たとえば、エントリ)が、VPEコンテキスト104によって規定された通りに、パイプライン200を共用するVPE102それぞれに割り振られる。一実施形態で、パイプライン200内で実行されるプログラムまたはタスクそれぞれは、一意のタスクIDまたはアドレス空間ID(ASID)が割り当てられ、これは、メモリアクセス、具体的にはメモリアドレス変換の実行に使用され、スレッドコンテキスト103は、またそのスレッドに関連するASID用のストレージを含む。
パイプライン200は、命令キャッシュ202およびシステムメモリからプログラム命令をフェッチする、命令キャッシュ202に結合されたフェッチユニット204も含む。フェッチユニット204は、マルチプレクサ244によって供給される命令フェッチアドレスで命令をフェッチする。マルチプレクサ244は、対応する複数のプログラムカウンタ222から複数の命令フェッチアドレスを受け取る。プログラムカウンタ222それぞれは、異なるプログラムスレッドの現在の命令フェッチアドレスを保管する。図2の実施形態は、4つの異なるスレッドに関連する4つの異なるプログラムカウンタ222を示す。マルチプレクサ244は、スケジューラ216によって供給される選択入力に基づいて、4つのプログラムカウンタ222のうちの1つを選択する。一実施形態で、マイクロプロセッサ100で実行されるさまざまなスレッドが、フェッチユニット204を共用する。
パイプライン200は、フェッチユニット204によってフェッチされたプログラム命令をデコードする、フェッチユニット204に結合されたデコードユニット206も含む。デコードユニット206は、命令のオペコード、オペランド、および他のフィールドをデコードする。一実施形態で、マイクロプロセッサ100で実行されるさまざまなスレッドが、デコードユニット206を共用する。
パイプライン200は、命令を実行する実行ユニット212も含む。実行ユニット212は、整数算術、ブール演算、シフト演算、ローテート演算、および類似物を実行する1つまたは複数の整数ユニットと、浮動小数点演算を実行する浮動小数点ユニットと、メモリアクセス、具体的には実行ユニット212に結合されたデータキャッシュ242へのアクセスを実行するロード/ストアユニットと、マルチメディア演算を実行するマルチメディアアクセラレーションユニットと、分岐命令の結果およびターゲットアドレスを解決する分岐解決ユニットとを含むことができるが、これらに制限はされない。一実施形態で、データキャッシュ242は、物理メモリページから仮想メモリページへの変換をキャッシングする変換ルックアサイドバッファを含む。データキャッシュ242から受け取られるオペランドの他に、実行ユニット212は、汎用レジスタセット224のレジスタからもオペランドを受け取る。具体的に言うと、実行ユニット212は、命令が属するスレッドに割り振られたスレッドコンテキスト104のレジスタセット224からオペランドを受け取る。マルチプレクサ248は、実行ユニット212に供給するために、オペランドを適当なレジスタセット224から選択する。さらに、マルチプレクサ248は、実行ユニット212によって実行されている命令のスレッドコンテキスト104に基づく、実行ユニット212への選択的供給のために、他のスレッドごとのコンテキスト226およびプログラムカウンタ222のそれぞれからデータを受け取る。一実施形態で、さまざまな実行ユニット212が、複数の並行スレッドからの命令を並行に実行することができる。
パイプライン200は、スケジューラ216に結合され、かつデコードユニット206と実行ユニット212の間に結合された命令発行ユニット208をも含み、命令発行ユニット208は、スケジューラ216による指示に従い、デコードユニット206によってデコードされた命令に関する情報に応答して、実行ユニット212に命令を発行する。具体的に言うと、命令発行ユニット208は、命令が、前に実行ユニット212に発行された他の命令に対する依存性を有する場合に、それら命令が、実行ユニット212に発行されないことを保証する。一実施形態で、命令キュー(図示せず)が、実行ユニット212の枯渇の可能性を下げるために、実行ユニット212への発行を待っている命令をバッファリングするために、デコードユニット206と命令発行ユニット208との間に置かれる。一実施形態で、パイプライン200内で実行されるさまざまなスレッドが、命令発行ユニット208を共用する。
パイプライン200は、命令の結果を汎用レジスタセット224、プログラムカウンタ222、および他のスレッドコンテキスト226にライトバックするための、実行ユニット212に結合されたライトバックユニット214も含む。デマルチプレクサ246は、ライトバックユニット214から命令結果を受け取り、その命令結果を、その命令のスレッドに関連する適当なレジスタセット224、プログラムカウンタ222、および他のスレッドコンテキスト226に保管する。命令結果は、VPEコンテキスト104および仮想マルチプロセッサ(VMP)コンテキスト210への保管のためにも供給される。
一実施形態で、VMPコンテキスト210は、仮想マルチプロセッサ101の実行の状態を記述する、ストレージ要素内の1つまたは複数のフィールド(たとえば、ビット)を有する、レジスタまたはラッチなどのストレージ要素の集合を含む。具体的に言うと、VMPコンテキスト210は、上で説明したように、VPE102の間で共用される仮想マルチプロセッサ101のグローバルリソースに関する状態を保管する。具体的に言うと、VMPコンテキストは、構成中にVPE102に割り振ることのできるリソースを規定し、仮想マルチプロセッサ101がリソースの構成に関する構成状態であるかどうかも制御する。一実施形態で、VMPコンテキスト210は、以下で説明する、図5A〜図5CのMVPCONTROLレジスタ501、MVPCONF0レジスタ502、およびMVPCONF1レジスタ503を含む。
図2のパイプライン200の特定のステージ202、204、206、208、212、および214は、本質的な態様を不明瞭にせずに、本発明を明瞭に提示するために提供されたものである。当業者は、本発明の趣旨および範囲から外れずに、ステージの数を増やすか減らすことによって、または異なる形で機能をステージに割り当てることによってパイプライン200のステージングを変更して、性能を改善できることを理解するであろう。
図3を参照すると、本発明による動的に構成可能な仮想マルチプロセッサ300を示すブロック図が示されている。マルチプロセッサ300は、VPE1 302、VPE2 303からVPEN 304として列挙された、1つまたは複数のVPE302〜304を含む。各VPE302〜304は、対応するVPEコンテキスト305〜307を有する。VPE302〜304は、図2に関して上で説明したように、VMPコンテキスト210と共に、実行論理212に結合される。実行論理212は、VPE構成論理310を含む。VPE構成論理310は、例外信号311に結合される。このブロック図には、リソース1 322、リソース2 324、リソース3 326からリソースM328として列挙された、1つまたは複数のリソース322、324、326、および328も示されている。
動作時に、リソース322〜328の構成は、リソース322〜328の構成を許可されたVPE302〜304によって発行される、構成命令シーケンスを実行することによって達成される。一実施形態で、リソース322〜328を構成する許可は、対応するVPE302〜304のVPEコンテキスト305〜307によって規定される。構成命令シーケンスが、パイプライン200内の実行ユニット212によって受け取られた時に、VPE構成論理310が、そのプログラムスレッドが構成命令シーケンスのフェッチを引き起こしたVPE302〜304に対応するVPEコンテキスト305〜307にアクセスして、VPE302〜304が、リソース322〜328の構成を許可されるかどうかを判定する。許可されない場合には、構成論理310は、例外信号311をアサートさせ、その構成命令シーケンスは実行されない。VPE302〜304がリソース322〜328の構成を許可される場合には、VPE構成論理310は、その構成命令シーケンスを実行して、仮想マルチプロセッサ300に構成状態に入るように指示し、1つまたは複数の規定されたVPEコンテキスト305〜307を更新し、したがって、リソースを再構成する。一実施形態で、構成命令シーケンスは、VMPコンテキスト210を更新することによって、構成状態に入るように仮想マルチプロセッサ300に指示する。一実施形態で、構成命令のシーケンスは、MIPS32/MIPS64 Multithreading(MT)Application Specific Extensions(ASE)アーキテクチャに準拠する命令を含む。
このブロック図は、構成されたリソース322〜328の特定の実施形態を示し、この実施形態は、構成命令シーケンスの実行から生じ、特定のリソース322〜328が、所与のマルチスレッディングマルチプロセッシングアプリケーションで並行して実行されるスレッドの性能を最適化するために、本発明によってどのように動的に構成できるかを図式によって示す。たとえば、リソース1 322の図式的分割は、アドレス変換リソース(たとえば、変換ルックアサイドバッファエントリ)に対応すると考えられたい。図示の分割から、VPE1 302が、残りのVPE303〜304に割り振られるものより少ないアドレス変換リソースの部分を割り当てられることが示されている。多分、VPE1 302で実行されるスレッドは、他のスレッドに対して短くかつ反復的であり、したがって、広範囲のアドレス変換リソースを必要としない。また、リソース2 324が、マルチスレッド化されたコプロセッサ(たとえば、浮動小数点要素、メディア要素、SIMD要素など)に対応するコンテキストを表すと考えられたい。VPE2 303が、そのVPEコンテキスト306内で規定されるように、多分広範囲のコプロセッシングリソースを必要としないVPE2 303によって発行された命令スレッドによって指示される演算に起因して、他のVPE302、307に対してより少数のコンテキストを割り振られることが示されている。さらに、リソース3 326が、リソース構成許可を表すと考えられたい。図式的に表されているように、VPE2 303だけが、仮想マルチプロセッサ300のリソース322〜328を構成することを許可される。与えられた構成許可を有する所与のVPE302〜304(この事例ではVPE2 303)が、他のVPE302〜304に構成許可を与えるか、それらの構成許可を取り消すか、それ自体の構成許可を取り消すことができることに留意されたい。これは、本明細書で説明するように、規定されたVPEコンテキスト305〜307を更新することによって達成される。リソースM328が、上で説明した実装されたスケジューリングポリシに従って、VPE302〜304に仮想マルチプロセッサ300の帯域幅を割り振る帯域幅リソースであると考えられたい。したがって、例示的なVPE302〜304それぞれは、直接実行帯域幅割振りを介して、実行優先順位を適当に等しくセットすることによって、または規定の帯域幅もしくは帯域幅関連リソースの他の技術によってのいずれかで、マルチプロセッサ帯域幅の等しい部分を与えられることが、図式的に表されている。本発明によって想定される、帯域幅関連リソースを規定する技術の1つが、VPE302〜304へのロード/ストア帯域幅の割振りである。たとえば、VPE302〜304の間で共用される、仮想マルチプロセッサ300内のメモリ動作バッファ(図示せず)の個数が、実行スレッドの個数より少ない場合に、所与のVPE302〜304のスレッドに関連するメモリ動作を実行する前に、仮想マルチプロセッサ300は、そのような動作が、所与のVPE302〜304について規定された帯域幅関連リソース割振りを超えるので、所与のスレッドをスイッチアウトしなければならないか否かを評価する。帯域幅割振りに対するそのようなアプローチは、1つのVPE302〜304に関連する少数のスレッドが、たとえばそうでなければ帯域幅関連リソース(この例では、メモリ動作バッファ)を独占するキャッシュミスの長いシーケンスを生成し、したがって、他のVPE302〜304からのスレッドの実行を妨げる状況に有利に対処する。帯域幅関連リソースの割り当てを指定することによって、そのような状況が、本発明による仮想マルチプロセッサ300で排除される。
図4を参照すると、本発明の例示的実施形態による仮想マルチプロセッシングコンテキストレジスタを示す表400が提示されている。仮想マルチプロセッシングコンテキストレジスタは、上で説明したように、仮想マルチプロセッサコンテキスト210または仮想処理要素コンテキスト104のいずれかを構成するのに使用される。仮想マルチプロセッシングコンテキストは、レジスタMVPCONTROL、MVPCONF0、およびMVPCONF1を含む。仮想マルチプロセッサ内のVPEごとの仮想処理要素コンテキストは、レジスタVPECONTROL、VPECONF0、VPECONF1、およびVPESCHEDULEを含む。表400には、レジスタが、MIPS32/MIPS64命令セットおよび特権リソースアーキテクチャに対するマルチスレッディングアプリケーション固有エクステンションに適合し、このアーキテクチャが、示されたレジスタのそれぞれついて、その中の内容にアクセスするためのCP0レジスタ番号およびレジスタ選択番号を割り当てることが示されている。上のレジスタの構造および内容を、図5A〜図5Gを参照してこれから説明する。
図5A〜図5Gは、図4の仮想マルチプロセッシングコンテキストレジスタ501〜506、592それぞれの例示的実施形態を示す一連のブロック図である。図5A〜図5Fは、各レジスタのフィールドの図示、およびさまざまなフィールドを説明する表を含む。特に関連するフィールドを、本明細書でより詳細に説明する。図5A〜図5Gに示されたレジスタそれぞれは、そのVPECONF0レジスタ505内のMVPフィールド553の値によって示されるように、リソースを動的に構成する許可を有するVPEによって選択的に読み取りかつ/または書き込まれることができる。レジスタ501〜506および592内のある種のフィールドは、構成許可を有することをそのMVPフィールド553が示すVPEだけによって書き込まれることができる。そうでない場合には、このある種のフィールドは、構成論理310による制御されるように、読取専用である。
MVPCONTROLレジスタ501は、STLBフィールド511、VPCフィールド512、およびEVPフィールド513を有する。上で説明した構成許可を有するVPE 102は、リソースの構成のために仮想マルチプロセッサ101を構成状態にするために、VPCフィールド512およびEVPフィールド513を更新することができる。VPCフィールド512をクリアし、かつEVPフィールド513をセットすることによって、仮想処理を再開するために、新しいリソース値が、構成レジスタ501〜506および592にラッチされる。構成許可を有するVPE102は、アドレス変換リソースを共用させるために、STLBフィールド511を更新することができる。
MVPCONF0レジスタ502およびMVPCONF1レジスタ503は、所与の仮想マルチプロセッサ101内で提供される構成可能なリソースの個数および範囲を判定するために、構成許可を有するVPE102によって読み取られる読取専用レジスタである。フィールドTLBSは、アドレス変換リソースが共用可能であることと、アドレス変換リソース共用が、MVPCONTROLレジスタ501のフィールドSTLB 511をセットすることによって構成されることができることを示す。フィールドPVPE 524は、仮想マルチプロセッサ101によって提供されるVPE102の総数を規定した。図5A〜図5Gの実施形態では、16個までのVPE102を使用することができる。フィールドPTC525は、仮想マルチプロセッサ101によって提供されるスレッドコンテキスト103の総数を示す。図示の実施形態では、256個までのスレッドコンテキスト103をインスタンス化することができる。フィールドC1M531は、割振り可能なコプロセッサがメディアエクステンション対応であることを示す。フィールドC1F532は、割振り可能なコプロセッサが浮動小数点対応であることを示す。フィールド533〜535は、VPE 102への割振りに使用可能な他のISA固有リソースの総数を示す。
リソースは、VPECONTROLレジスタ504のフィールドTARGVPE334にそのVPE番号を書き込むことによって、特定のVPE104に割り振られる。フィールド334への書き込みの一実施形態が、上で説明したMIPSのMTTR命令およびMFTR命令を介することである。
レジスタVPECONF0505のフィールドVPA552の値は、指定されたVPE102をイネーブル/ディスエーブルするためにセットされる。フィールドMVP 553は、リソース構成許可を授与するか取り消すためにセットされる。フィールドMINTC554およびMAXTC555は、スレッドコンテキスト103の個数および列挙を、指定されたVPE102に割り振るために更新される。フィールドNCX561、NCP2 56i2、およびNCP1 563は、本発明のMIPS32/MIPS64マルチスレッディングアプリケーション固有エクステンション実施形態において、特定のVPE102にコプロセッサリソースを割り振るために更新される。上で注記したように、図5Eおよび図5Fの表に、注記されたリソース割振りフィールド552〜555、561〜563が、読取専用フィールドであることが示されている。これは、VPECONF0レジスタ505のMVPビット553の状態によって示されるように、リソース構成許可を有しないすべてのVPE102について真である。しかし、与えられたリソース構成許可を有するVPE102について、構成論理310は、注記されたフィールド552〜555、561〜563の更新(すなわち書込)を可能にする。
VPESCHEDULEレジスタ592は、仮想マルチプロセッサ101内のVPE 102にまたがって帯域幅リソースを構成するために更新されることができる、スケジューラヒントフィールド529を含む。
図4および図5A〜図5Gは、あるリソースが、MIPS32/MIPS64マルチスレッディングアプリケーション固有エクステンション環境で動的に構成されることができる、本発明の例示的実施形態を示すが、本発明人は、この例示的実施形態が、本発明の諸態様を教示するために、知られている命令セットアーキテクチャに従って提供されたことに特に言及する。本発明には、他のアーキテクチャも同様に包含されることにも言及する。
図6に移ると、仮想プロセッサリソースの動的構成に関する、本発明による方法を示す流れ図600が示されている。この方法は、ブロック602で開始され、ここで、本発明によるVPEが、リソースを動的に再構成することを望む。流れは、次にブロック604に進む。
ブロック604では、要求するVPEに対応するVPEコンテキストを読み取る。流れは、次に判断ブロック606に進む。
判断ブロック606では、VPEコンテキストを評価して、要求するVPEが、仮想マルチプロセッサ内のリソースを動的に構成することを許可されるかどうかを判定する。そうである場合には、流れはブロック608に進む。そうでない場合には、流れはブロック607に進む。
ブロック607では、要求するVPEが、リソース構成許可を有しないので、例外を宣言し、流れはブロック620に進む。
ブロック608では、仮想マルチプロセッサ内の処理をディスエーブルして、リソース再構成を可能にする。流れは、次にブロック610に進む。
ブロック610では、仮想マルチプロセッサ内で構成状態を確立する。流れは、次にブロック612に進む。
ブロック612では、仮想マルチプロセッサ内のVMPコンテキストにアクセスして、どのリソースが何個だけ構成に使用可能であるかを判定する。流れは、次にブロック614に進む。
ブロック614では、割り振られたリソースの構成のために、ターゲットVPEを選択する。流れは、次にブロック616に進む。
ブロック616では、対応するVPEコンテキストを更新することによって、選択されたVPEについてリソースを構成する。流れは、次にブロック618に進む。
ブロック618では、構成状態から抜けることによって選択されたVPEのリソースの新しい構成をラッチし、仮想マルチプロセッサ内の仮想処理を再イネーブルする。流れは、次にブロック620に進む。
ブロック620で、この方法が完了する。
図7は、仮想プロセッサリソースの動的構成に関する、本発明による取消可能な方法を示す流れ図700である。図7の流れ図700のすべてのブロック702〜720は、図6の流れ図600の対応するブロック602〜620と同等であり、100の桁が7に置換されているが、追加のブロック717があり、このブロックでは、選択されたVPEのVPEコンテキストを更新して、リソースを動的に構成する許可を取り消す。ブロック702の要求するVPEを、ブロック717の選択されたVPEと同一とすることができ、したがって、VPEがそれ自体の構成許可を取り消すことが可能になることに留意されたい。ブロック718で新しい構成をラッチした後に、要求するVPEは、もはやリソースを構成することができない。
本発明とその目的、特徴、および長所を詳細に説明したが、他の実施形態が、本発明に包含されている。たとえば、ハードウェアを使用する本発明の実装の他に、本発明を、たとえばコンピュータ使用可能(たとえば可読)媒体に配置されたソフトウェア(たとえば、コンピュータ可読コード、プログラムコード、命令、および/またはデータ)で実施することができる。そのようなソフトウェアは、本明細書で説明した装置および方法の機能、製造、モデル化、シミュレーション、記述、および/またはテストを可能にする。たとえば、これを、一般的なプログラミング言語(たとえば、C、C++、Java(登録商標)など)、GDSIIデータベース、Verilog HDL、VHDLなどを含むハードウェア記述言語(HDL)、または他の使用可能なプログラム、データベース、および/もしくは回路(すなわち、概略)キャプチャツールの使用を介して達成することができる。そのようなソフトウェアは、半導体メモリ、磁気ディスク、光ディスク(たとえば、CD−ROM、DVD−ROMなど)を含むすべての知られているコンピュータ使用可能(たとえば可読)媒体に配置することができ、コンピュータ使用可能(たとえば可読)伝送媒体(たとえば、搬送波またはディジタル媒体、光媒体、もしくはアナログベースの媒体を含む他のすべての媒体)で実施されるコンピュータデータ信号として配置することができる。したがって、ソフトウェアを、インターネットおよびイントラネットを含む通信ネットワークを介して伝送することができる。本発明を、ソフトウェアで(たとえば、マイクロプロセッサコアなどの半導体知的所有権コアの一部として、またはシステムオンチップすなわちSOCなどのシステムレベル設計としてHDLで)実施し、集積回路製造の一部としてハードウェアに変換できることを理解されたい。また、本発明を、ハードウェアとソフトウェアの組み合わせとして実施することができる。
最後に、当業者は、請求項によって規定される本発明の趣旨および範囲から逸脱することなく、本発明と同一の目的を実行する他の構造を設計または変更する基礎として、開示された概念および特定の実施形態をたやすく使用できることを理解されたい。
本発明によるマルチプロセッシング環境を示すブロック図である。 本発明による仮想マルチプロセッサパイプラインの特徴を示すブロック図である。 本発明による動的に構成可能な仮想マルチプロセッサを示すブロック図である。 本発明の例示的実施形態による仮想マルチプロセッシングコンテキストレジスタを提示する表である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 図4の仮想マルチプロセッシングコンテキストレジスタそれぞれの例示的実施形態を示す一連のブロック図である。 仮想プロセッサリソースの動的構成に関する、本発明による方法を示す流れ図である。 仮想プロセッサリソースの動的構成に関する、本発明による取消可能な方法を示す流れ図である。

Claims (69)

  1. 仮想マルチプロセッサ内の1つまたは複数の仮想処理要素に関してリソースを構成する装置であって、
    リソースを規定しかつ仮想マルチプロセッサの構成状態を制御する、仮想マルチプロセッサコンテキストと、
    それぞれが1つまたは複数の仮想処理要素のうちの1つに排他的に対応する、1つまたは複数の仮想処理要素コンテキストとを含み、前記仮想処理要素コンテキストそれぞれが、
    1つまたは複数の仮想処理要素のうちの前記1つが、リソースを構成することを許可されるかどうかを規定する第1の論理と、
    1つまたは複数の仮想処理要素のうちの前記1つに割り振られるリソースのサブセットを規定する第2の論理とを含み、前記装置がさらに、
    構成論理を含み、該構成論理が、前記仮想マルチプロセッサコンテキストおよび前記1つまたは複数の仮想処理要素コンテキストに結合され、1つまたは複数の仮想処理要素のうちの前記1つが、リソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが前記構成状態に入ることを指示するために、前記仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによってリソースを構成する、装置。
  2. 1つまたは複数の仮想処理要素が、仮想マルチプロセッサ内で並行して実行され、仮想マルチプロセッサが、対称マルチプロセッシングオペレーティングシステムに対して対称マルチプロセッサとして見える、請求項1に記載の装置。
  3. 前記1つまたは複数の仮想処理要素それぞれが、1つまたは複数のスレッドを並行して実行するように構成された1つまたは複数のスレッドコンテキストを含む、請求項1に記載の装置。
  4. 1つまたは複数のスレッドコンテキストそれぞれが、構成されたリソースを共用し、前記構成されたリソースが、リソースから1つまたは複数の仮想処理要素のうちの対応する1つに割り振られている、請求項3に記載の装置。
  5. リソースが、仮想マルチプロセッサの1つまたは複数の属性を含み、特定の仮想処理要素に関するリソースの構成は、前記特定の仮想処理要素が、仮想マルチプロセッサ内の1つまたは複数の仮想処理要素のうちの他のすべての仮想処理要素に対して実行する方法を決定する、請求項1に記載の装置。
  6. リソースが、変換ルックアヘッドバッファ属性を含む、請求項1に記載の装置。
  7. リソースが、コプロセッシング属性を含む、請求項1に記載の装置。
  8. リソースが、浮動小数点処理属性を含む、請求項1に記載の装置。
  9. リソースが、メディアアクセラレーション属性を含む、請求項1に記載の装置。
  10. リソースが、リソースを構成することの許可を含む、請求項1に記載の装置。
  11. リソースが、スレッドコンテキストを含む、請求項1に記載の装置。
  12. リソースが、仮想マルチプロセッサの帯域幅を含む、請求項1に記載の装置。
  13. リソースが、仮想処理要素をイネーブルすることを含む、請求項1に記載の装置。
  14. 1つまたは複数の仮想処理要素それぞれが、MIPS32/MIPS64命令および特権リソースアーキテクチャのインスタンス化を含む、請求項1に記載の装置。
  15. 前記規定された仮想処理要素コンテキストが、1つまたは複数の仮想処理要素のうちの前記1つに対応する、請求項1に記載の装置。
  16. 1つまたは複数の仮想処理要素のうちの前記1つが、リソースを構成することのそれ自体の許可を取り消すことができる、請求項15に記載の装置。
  17. 前記規定された仮想処理要素コンテキストが、1つまたは複数の仮想処理要素のうちの異なる1つに対応する、請求項1に記載の装置。
  18. 1つまたは複数の仮想処理要素のうちの前記1つが、1つまたは複数の仮想処理要素のうちの前記異なる1つにリソースを構成することの許可を取り消すことができる、請求項17に記載の装置。
  19. 前記仮想マルチプロセッシングコンテキストが、1つまたは複数のレジスタを含み、前記構成状態が、その中の構成状態フィールドに値を書き込むことによって制御される、請求項1に記載の装置。
  20. 前記第1の論理が、1つまたは複数の仮想プロセッサコンテキストレジスタ内のマスタ仮想プロセッサフィールドを含み、前記マスタ仮想プロセッサフィールドの特定の値は、1つまたは複数の仮想処理要素のうちの前記1つが、リソースを構成することを許可されるかどうかを規定する、請求項1に記載の装置。
  21. 前記第2の論理が、1つまたは複数の仮想プロセッサコンテキストレジスタ内の1つまたは複数のフィールドを含み、前記1つまたは複数のフィールドが、リソースを構成することを許可された所与の仮想処理要素によってのみ更新されることができる、請求項1に記載の装置。
  22. 前記所与の仮想処理要素が、リソースを構成することを許可されていない場合に、前記構成論理が例外を引き起こす、請求項21に記載の装置。
  23. 前記構成状態を確立しかつリソースを構成するために、1つまたは複数のプログラム命令が、1つまたは複数の仮想処理要素のうちの前記1つによって実行される、請求項1に記載の装置。
  24. 仮想マルチプロセッサ内の仮想処理要素にリソースを割り当てるリソース構成機構体であって、
    リソースを規定しかつ仮想マルチプロセッサの構成状態を制御するための仮想マルチプロセッサレジスタと、
    仮想処理要素それぞれについて、対応する仮想処理要素がリソースを割り当てることを許可されるかどうかを規定し、かつ前記対応する仮想処理要素に割り振られるリソースのサブセットを規定するための仮想処理要素レジスタと、
    前記仮想マルチプロセッサレジスタおよび前記仮想処理要素レジスタに結合され、前記対応する仮想処理要素がリソースを割り当てることを許可されるかどうかを検出し、仮想マルチプロセッサが前記構成状態に入ることを指示するために前記仮想マルチプロセッサレジスタを更新し、かつ前記仮想処理要素レジスタのうちの選択された1つを更新することによってリソースを割り当てるための構成論理とを含む、リソース構成機構体。
  25. リソースが、変換ルックアヘッドバッファ属性を含む、請求項24に記載の装置。
  26. リソースが、コプロセッシング属性を含む、請求項24に記載の装置。
  27. リソースが、浮動小数点処理属性を含む、請求項24に記載の装置。
  28. リソースが、メディアアクセラレーション属性を含む、請求項24に記載の装置。
  29. リソースが、リソースを構成することの許可を含む、請求項24に記載の装置。
  30. リソースが、スレッドコンテキストを含む、請求項24に記載の装置。
  31. リソースが、仮想マルチプロセッサの帯域幅を含む、請求項24に記載の装置。
  32. リソースが、仮想処理要素をイネーブルすることを含む、請求項24に記載の装置。
  33. 仮想処理要素それぞれが、MIPS32/MIPS64命令および特権リソースアーキテクチャのインスタンス化を含む、請求項24に記載の装置。
  34. 前記対応する仮想処理要素が、リソースを割り当てることのそれ自体の許可を取り消すことができる、請求項24に記載の装置。
  35. 前記対応する仮想処理要素が、仮想処理要素のうちの異なる1つにリソースを構成することの許可を取り消すことができる、請求項24に記載の装置。
  36. コンピューティングデバイスと共に使用されるコンピュータプログラム製品であって、
    コンピュータ使用可能媒体を含み、該コンピュータ使用可能媒体が、コンピュータ使用可能媒体に実施されるコンピュータ可読プログラムコードを有し、かつ仮想マルチプロセッサ内の仮想処理要素に関してリソースを構成する装置を記述するように構成され、
    前記コンピュータ可読プログラムコードが、
    前記リソースを規定する仮想マルチプロセッサコンテキストを記述するように構成され、前記仮想マルチプロセッサの構成状態を制御する、第1のプログラムコードと、
    仮想処理要素コンテキストを記述するように構成された第2のプログラムコードとを含み、仮想処理要素コンテキストそれぞれが、前記仮想処理要素のうちの1つに排他的に対応し、前記仮想処理要素のうちの前記1つが前記リソースを構成することを許可されるかどうかを規定し、かつ前記仮想処理要素のうちの前記1つに割り振られる前記リソースのサブセットを規定する仮想処理要素コンテキストを記述し、前記コンピュータ可読プログラムコードがさらに、
    構成論理を記述するように構成された第3のプログラムコードを含み、前記構成論理が、前記仮想マルチプロセッサコンテキストおよび前記仮想処理要素コンテキストに結合され、前記仮想処理要素のうちの前記1つが前記リソースを構成することを許可されるかどうかを検出し、前記仮想マルチプロセッサが前記構成状態に入ることを指示するために前記仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによって前記リソースを構成する、コンピュータプログラム製品。
  37. 前記リソースが、前記仮想マルチプロセッサの1つまたは複数の属性を含み、前記規定された仮想処理要素に関する前記リソースの構成は、前記規定された仮想処理要素が、前記仮想マルチプロセッサ内の前記仮想処理要素のうちの他のすべての仮想処理要素に対して実行する方法を決定する、請求項36に記載のコンピュータプログラム製品。
  38. 前記リソースが、変換ルックアヘッドバッファ属性を含む、請求項36に記載のコンピュータプログラム製品。
  39. 前記リソースが、コプロセッシング属性を含む、請求項36に記載のコンピュータプログラム製品。
  40. 前記リソースが、浮動小数点処理属性を含む、請求項36に記載のコンピュータプログラム製品。
  41. 前記リソースが、メディアアクセラレーション属性を含む、請求項36に記載のコンピュータプログラム製品。
  42. 前記リソースが、前記リソースを構成することの許可を含む、請求項36に記載のコンピュータプログラム製品。
  43. 前記リソースが、スレッドコンテキストを含む、請求項36に記載のコンピュータプログラム製品。
  44. 前記リソースが、前記仮想マルチプロセッサの帯域幅を含む、請求項36に記載のコンピュータプログラム製品。
  45. 前記リソースが、仮想処理要素をイネーブルすることを含む、請求項36に記載のコンピュータプログラム製品。
  46. 前記仮想処理要素それぞれが、MIPS32/MIPS64命令および特権リソースアーキテクチャのインスタンス化を含む、請求項36に記載のコンピュータプログラム製品。
  47. 伝送媒体で実施されるコンピュータデータ信号であって、
    仮想マルチプロセッサ内の仮想処理要素に関してリソースを構成する装置を記述するように構成されたコンピュータ可読プログラムコードを含み、
    該コンピュータ可読プログラムコードが、
    前記リソースを規定する仮想マルチプロセッサコンテキストを記述するように構成され、前記仮想マルチプロセッサの構成状態を制御する、第1のプログラムコードと、
    仮想処理要素コンテキストを記述するように構成された第2のプログラムコードとを含み、仮想処理要素コンテキストそれぞれが、前記仮想処理要素のうちの1つに排他的に対応し、前記仮想処理要素のうちの前記1つが前記リソースを構成することを許可されるかどうかを規定し、かつ前記仮想処理要素のうちの前記1つに割り振られる前記リソースのサブセットを規定し、前記コンピュータ可読プログラムコードがさらに、
    構成論理を記述するように構成された第3のプログラムコードを含み、前記構成論理が、前記仮想マルチプロセッサコンテキストおよび前記仮想処理要素コンテキストに結合され、前記仮想処理要素のうちの前記1つが前記リソースを構成することを許可されるかどうかを検出し、前記仮想マルチプロセッサが前記構成状態に入ることを指示するために前記仮想マルチプロセッサコンテキストを更新し、かつ規定された仮想処理要素コンテキストを更新することによって前記リソースを構成する、コンピュータデータ信号。
  48. 前記リソースが、前記仮想マルチプロセッサの1つまたは複数の属性を含み、前記規定された仮想処理要素に関する前記リソースの構成は、前記規定された仮想処理要素が、前記仮想マルチプロセッサ内の前記仮想処理要素のうちの他のすべての仮想処理要素に対して実行する方法を決定する、請求項47に記載のコンピュータデータ信号。
  49. 前記リソースが、変換ルックアヘッドバッファ属性を含む、請求項47に記載のコンピュータデータ信号。
  50. 前記リソースが、コプロセッシング属性を含む、請求項47に記載のコンピュータデータ信号。
  51. 前記リソースが、浮動小数点処理属性を含む、請求項47に記載のコンピュータデータ信号。
  52. 前記リソースが、メディアアクセラレーション属性を含む、請求項47に記載のコンピュータデータ信号。
  53. 前記リソースが、前記リソースを構成することの許可を含む、請求項47に記載のコンピュータデータ信号。
  54. 前記リソースが、スレッドコンテキストを含む、請求項47に記載のコンピュータデータ信号。
  55. 前記リソースが、前記仮想マルチプロセッサの帯域幅を含む、請求項47に記載のコンピュータデータ信号。
  56. 前記リソースが、仮想処理要素をイネーブルすることを含む、請求項47に記載のコンピュータデータ信号。
  57. 前記仮想処理要素それぞれが、MIPS32/MIPS64命令および特権リソースアーキテクチャのインスタンス化を含む、請求項47に記載のコンピュータデータ信号。
  58. 仮想マルチプロセッサ内の仮想処理要素に関してリソースを構成する方法であって、
    仮想マルチプロセッサコンテキストを介して、リソースを第1に規定し、仮想マルチプロセッサの構成状態を制御することと、
    それぞれが仮想処理要素のうちの1つに排他的に対応する仮想処理要素コンテキストを介して、仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを第2に規定し、仮想処理要素のうちの1つに割り振られるリソースのサブセットを第3に規定することと、
    仮想マルチプロセッサコンテキストおよび仮想処理要素コンテキストに結合された構成論理を介して、仮想処理要素のうちの1つがリソースを構成することを許可されるかどうかを検出し、仮想マルチプロセッサが前記構成状態に入ることを指示するために仮想マルチプロセッサコンテキストを第1に更新し、規定された仮想処理要素コンテキストを第2に更新することによってリソースを構成することとを含む方法。
  59. 前記第2に更新することが、仮想マルチプロセッサの1つまたは複数の属性を割り振ることを含む、請求項58に記載の方法。
  60. 前記割り振ることが、変換ルックアヘッドバッファ属性を割り当てることを含む、請求項59に記載の方法。
  61. 前記割り振ることが、コプロセッシング属性を割り当てることを含む、請求項59に記載の方法。
  62. 前記割り振ることが、浮動小数点処理属性を割り当てることを含む、請求項59に記載の方法。
  63. 前記割り振ることが、メディアアクセラレーション属性を割り当てることを含む、請求項59に記載の方法。
  64. 前記割り振ることが、リソースを構成することの許可を割り当てることを含む、請求項59に記載の方法。
  65. 前記割り振ることが、スレッドコンテキストを割り当てることを含む、請求項59に記載の方法。
  66. 前記割り振ることが、仮想マルチプロセッサの帯域幅を割り当てることを含む、請求項59に記載の方法。
  67. 前記割り振ることが、所与の仮想処理要素をイネーブルすることを含む、請求項59に記載の方法。
  68. 仮想処理要素それぞれが、MIPS32/MIPS64命令および特権リソースアーキテクチャのインスタンス化を含む、請求項58に記載の方法。
  69. 仮想マルチプロセッシングシステムであって、
    複数のプログラムスレッドに関連するプログラム命令を保管するように構成されたメモリと、
    前記メモリに結合され、仮想マルチプロセッサ内で構成された1つまたは複数の仮想処理要素上で前記プログラム命令を実行するように構成された仮想マルチプロセッサとを含み、前記仮想マルチプロセッサが、前記1つまたは複数の仮想処理要素の構成に関してリソースを規定し、かつ前記仮想マルチプロセッサの構成状態を制御する仮想マルチプロセッサコンテキストを有し、
    前記1つまたは複数の仮想処理要素それぞれが、
    前記1つまたは複数の仮想処理要素の前記それぞれが、前記リソースを構成することを許可されるかどうかを規定し、前記1つまたは複数の仮想処理要素のうちの規定された1つに割り振られる前記リソースのサブセットを規定する、仮想処理要素コンテキストと、
    前記仮想マルチプロセッサコンテキストおよび前記仮想処理要素コンテキストに結合され、前記1つまたは複数の仮想処理要素の前記それぞれが、前記リソースを構成することを許可されるかどうかを検出し、前記仮想マルチプロセッサが前記構成状態に入ることを指示するために、前記仮想マルチプロセッサコンテキストを更新し、かつ前記1つまたは複数の仮想処理要素のうちの前記規定された1つに対応する規定された仮想処理要素コンテキストを更新することによって前記リソースを構成する構成論理とを含む、仮想マルチプロセッシングシステム。
JP2006524900A 2003-08-28 2004-08-27 仮想プロセッサリソースの動的構成のための機構体 Expired - Fee Related JP4740851B2 (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US49918003P 2003-08-28 2003-08-28
US60/499,180 2003-08-28
US50235903P 2003-09-12 2003-09-12
US50235803P 2003-09-12 2003-09-12
US60/502,359 2003-09-12
US60/502,358 2003-09-12
US10/684,350 US7376954B2 (en) 2003-08-28 2003-10-10 Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US10/684,348 2003-10-10
US10/684,348 US20050050305A1 (en) 2003-08-28 2003-10-10 Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US10/684,350 2003-10-10
PCT/US2004/027976 WO2005022385A1 (en) 2003-08-28 2004-08-27 Mechanisms for dynamic configuration of virtual processor resources

Publications (2)

Publication Number Publication Date
JP2007504536A true JP2007504536A (ja) 2007-03-01
JP4740851B2 JP4740851B2 (ja) 2011-08-03

Family

ID=34624066

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006524868A Expired - Fee Related JP4818918B2 (ja) 2003-08-28 2004-08-27 マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令
JP2006524900A Expired - Fee Related JP4740851B2 (ja) 2003-08-28 2004-08-27 仮想プロセッサリソースの動的構成のための機構体
JP2006524929A Expired - Fee Related JP4818919B2 (ja) 2003-08-28 2004-08-27 プロセッサ内での実行の計算スレッドを一時停止して割り当て解除するための統合されたメカニズム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006524868A Expired - Fee Related JP4818918B2 (ja) 2003-08-28 2004-08-27 マルチスレッド化されたマイクロプロセッサで並行命令ストリームを開始する命令

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006524929A Expired - Fee Related JP4818919B2 (ja) 2003-08-28 2004-08-27 プロセッサ内での実行の計算スレッドを一時停止して割り当て解除するための統合されたメカニズム

Country Status (5)

Country Link
US (6) US7424599B2 (ja)
EP (3) EP1660993B1 (ja)
JP (3) JP4818918B2 (ja)
DE (1) DE602004017879D1 (ja)
WO (1) WO2005022381A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US7610473B2 (en) 2003-08-28 2009-10-27 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
US7676664B2 (en) 2003-08-28 2010-03-09 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
WO2010095416A1 (ja) * 2009-02-17 2010-08-26 パナソニック株式会社 マルチスレッドプロセッサ及びデジタルテレビシステム
US7836450B2 (en) 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7870553B2 (en) 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
JP2016535913A (ja) * 2013-10-31 2016-11-17 シリコン テーラー リミテッド パイプライン化構成可能プロセッサ
JP2020109605A (ja) * 2018-12-31 2020-07-16 グラフコアー リミテッドGraphcore Limited マルチスレッドプロセッサのレジスタファイル

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2836407B1 (fr) * 2002-02-28 2004-05-14 Thermagen Procede de fabrication d'emballage metallique
US7627721B2 (en) * 2002-10-08 2009-12-01 Rmi Corporation Advanced processor with cache coherency
KR100591755B1 (ko) * 2003-07-22 2006-06-22 삼성전자주식회사 복수의 스레드를 동시에 처리하는 장치 및 방법
US7376954B2 (en) * 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US20050050305A1 (en) * 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US8788996B2 (en) 2003-09-15 2014-07-22 Nvidia Corporation System and method for configuring semiconductor functional circuits
US8775997B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
US8732644B1 (en) 2003-09-15 2014-05-20 Nvidia Corporation Micro electro mechanical switch system and method for testing and configuring semiconductor functional circuits
US20050094551A1 (en) * 2003-09-25 2005-05-05 Broadcom Corporation Processor instruction for DMT encoding
US7751557B2 (en) * 2003-09-26 2010-07-06 Broadcom Corporation Data de-scrambler
US7903810B2 (en) * 2003-09-26 2011-03-08 Broadcom Corporation Single instruction for data scrambling
US7756273B2 (en) * 2003-09-26 2010-07-13 Broadcom Corporation System and method for bit-reversing and scrambling payload bytes in an asynchronous transfer mode cell
US6897871B1 (en) * 2003-11-20 2005-05-24 Ati Technologies Inc. Graphics processing architecture employing a unified shader
US8711161B1 (en) 2003-12-18 2014-04-29 Nvidia Corporation Functional component compensation reconfiguration system and method
US7770169B2 (en) * 2004-05-17 2010-08-03 Oracle America, Inc. Thread rendezvous for read-only code in an object-oriented computing environment
EP1622009A1 (en) * 2004-07-27 2006-02-01 Texas Instruments Incorporated JSM architecture and systems
US7890735B2 (en) * 2004-08-30 2011-02-15 Texas Instruments Incorporated Multi-threading processors, integrated circuit devices, systems, and processes of operation and manufacture
US7320063B1 (en) 2005-02-04 2008-01-15 Sun Microsystems, Inc. Synchronization primitives for flexible scheduling of functional unit operations
US8723231B1 (en) 2004-09-15 2014-05-13 Nvidia Corporation Semiconductor die micro electro-mechanical switch management system and method
US8711156B1 (en) * 2004-09-30 2014-04-29 Nvidia Corporation Method and system for remapping processing elements in a pipeline of a graphics processing unit
US7203100B2 (en) 2004-11-01 2007-04-10 Sun Mircosystems, Inc. Efficient implementation of a read scheme for multi-threaded register file
US7707578B1 (en) 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
US20060136919A1 (en) * 2004-12-17 2006-06-22 Sun Microsystems, Inc. System and method for controlling thread suspension in a multithreaded processor
WO2006079940A2 (en) * 2005-01-25 2006-08-03 Nxp B.V. Multi-threaded processor
US8254411B2 (en) * 2005-02-10 2012-08-28 International Business Machines Corporation Data processing system, method and interconnect fabric having a flow governor
US7483422B2 (en) * 2005-02-10 2009-01-27 International Business Machines Corporation Data processing system, method and interconnect fabric for selective link information allocation in a data processing system
US7743233B2 (en) * 2005-04-05 2010-06-22 Intel Corporation Sequencer address management
US7389403B1 (en) * 2005-08-10 2008-06-17 Sun Microsystems, Inc. Adaptive computing ensemble microprocessor architecture
US7721151B2 (en) * 2005-08-30 2010-05-18 Cisco Technology, Inc. Selective error recovery of processing complex using privilege-level error discrimination
US7844971B2 (en) * 2005-09-28 2010-11-30 Intel Corporation Method and apparatus for detecting cross-thread stack access in multithreaded programs
US8185895B2 (en) * 2005-11-30 2012-05-22 International Business Machines Corporation Method, apparatus and program storage device for providing an anchor pointer in an operating system context structure for improving the efficiency of accessing thread specific data
KR100663709B1 (ko) * 2005-12-28 2007-01-03 삼성전자주식회사 재구성 아키텍처에서의 예외 처리 방법 및 장치
US8108863B2 (en) 2005-12-30 2012-01-31 Intel Corporation Load balancing for multi-threaded applications via asymmetric power throttling
US20070226795A1 (en) * 2006-02-09 2007-09-27 Texas Instruments Incorporated Virtual cores and hardware-supported hypervisor integrated circuits, systems, methods and processes of manufacture
KR100714710B1 (ko) * 2006-02-22 2007-05-04 삼성전자주식회사 입출력 작업에 의해 블로킹된 스레드를 강제 종료하는 장치및 방법
KR100770034B1 (ko) * 2006-03-02 2007-10-26 삼성전자주식회사 복수의 레지스터 파일을 이용한 문맥 교환 방법 및 시스템
GB0605383D0 (en) * 2006-03-17 2006-04-26 Williams Paul N Processing system
US7802073B1 (en) 2006-03-29 2010-09-21 Oracle America, Inc. Virtual core management
WO2007115429A1 (en) * 2006-03-31 2007-10-18 Intel Corporation Managing and supporting multithreaded resources for native code in a heterogeneous managed runtime environment
EP2011018B1 (en) 2006-04-12 2016-07-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
US7792895B1 (en) * 2006-06-16 2010-09-07 Nvidia Corporation Efficient matrix multiplication on a parallel processing device
US7912889B1 (en) * 2006-06-16 2011-03-22 Nvidia Corporation Mapping the threads of a CTA to the elements of a tile for efficient matrix multiplication
US8046775B2 (en) * 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7650465B2 (en) * 2006-08-18 2010-01-19 Mips Technologies, Inc. Micro tag array having way selection bits for reducing data cache access power
US7657708B2 (en) * 2006-08-18 2010-02-02 Mips Technologies, Inc. Methods for reducing data cache access power in a processor using way selection bits
US9665970B2 (en) * 2006-09-19 2017-05-30 Imagination Technologies Limited Variable-sized concurrent grouping for multiprocessing
US7594079B2 (en) 2006-09-29 2009-09-22 Mips Technologies, Inc. Data cache virtual hint way prediction, and applications thereof
US20080082793A1 (en) * 2006-09-29 2008-04-03 Mips Technologies, Inc. Detection and prevention of write-after-write hazards, and applications thereof
US9946547B2 (en) * 2006-09-29 2018-04-17 Arm Finance Overseas Limited Load/store unit for a processor, and applications thereof
US7493436B2 (en) * 2006-10-26 2009-02-17 International Business Machines Corporation Interrupt handling using simultaneous multi-threading
US8402463B2 (en) * 2006-10-30 2013-03-19 Hewlett-Packard Development Company, L.P. Hardware threads processor core utilization
US7698540B2 (en) * 2006-10-31 2010-04-13 Hewlett-Packard Development Company, L.P. Dynamic hardware multithreading and partitioned hardware multithreading
EP2122461A4 (en) 2006-11-14 2010-03-24 Soft Machines Inc DEVICE AND METHOD FOR PROCESSING COMMUNICATIONS IN A MULTITHREAD ARCHITECTURE WITH CONTEXT CHANGES
US7975272B2 (en) * 2006-12-30 2011-07-05 Intel Corporation Thread queuing method and apparatus
US8321849B2 (en) * 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
JP5595633B2 (ja) * 2007-02-26 2014-09-24 スパンション エルエルシー シミュレーション方法及びシミュレーション装置
US9367321B2 (en) * 2007-03-14 2016-06-14 Xmos Limited Processor instruction set for controlling an event source to generate events used to schedule threads
US8219789B2 (en) * 2007-03-14 2012-07-10 XMOS Ltd. Interface processor
US7979683B1 (en) * 2007-04-05 2011-07-12 Nvidia Corporation Multiple simultaneous context architecture
US8095782B1 (en) * 2007-04-05 2012-01-10 Nvidia Corporation Multiple simultaneous context architecture for rebalancing contexts on multithreaded processing cores upon a context change
US8521993B2 (en) * 2007-04-09 2013-08-27 Intel Corporation Providing thread fairness by biasing selection away from a stalling thread using a stall-cycle counter in a hyper-threaded microprocessor
US8261049B1 (en) 2007-04-10 2012-09-04 Marvell International Ltd. Determinative branch prediction indexing
US7681020B2 (en) * 2007-04-18 2010-03-16 International Business Machines Corporation Context switching and synchronization
WO2008132761A2 (en) * 2007-04-26 2008-11-06 Hewlett-Packard Development Company L.P. Method and system for managing heap across process replication
US8219788B1 (en) 2007-07-23 2012-07-10 Oracle America, Inc. Virtual core management
US8132170B2 (en) * 2007-08-07 2012-03-06 International Business Machines Corporation Call stack sampling in a data processing system
JP5043560B2 (ja) * 2007-08-24 2012-10-10 パナソニック株式会社 プログラム実行制御装置
US20090063881A1 (en) * 2007-08-31 2009-03-05 Mips Technologies, Inc. Low-overhead/power-saving processor synchronization mechanism, and applications thereof
US8782779B2 (en) * 2007-09-26 2014-07-15 Hewlett-Packard Development Company, L.P. System and method for achieving protected region within computer system
US20090089029A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Enhanced execution speed to improve simulation performance
US20090089031A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Integrated simulation of controllers and devices
US20090089234A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Automated code generation for simulators
US8069021B2 (en) * 2007-09-28 2011-11-29 Rockwell Automation Technologies, Inc. Distributed simulation and synchronization
US8548777B2 (en) * 2007-09-28 2013-10-01 Rockwell Automation Technologies, Inc. Automated recommendations from simulation
US7801710B2 (en) * 2007-09-28 2010-09-21 Rockwell Automation Technologies, Inc. Simulation controls for model variability and randomness
US20090100249A1 (en) * 2007-10-10 2009-04-16 Eichenberger Alexandre E Method and apparatus for allocating architectural register resources among threads in a multi-threaded microprocessor core
US8724483B2 (en) 2007-10-22 2014-05-13 Nvidia Corporation Loopback configuration for bi-directional interfaces
US8321840B2 (en) * 2007-12-27 2012-11-27 Intel Corporation Software flow tracking using multiple threads
US20090210873A1 (en) * 2008-02-15 2009-08-20 International Business Machines Corporation Re-tasking a managed virtual machine image in a virtualization data processing system
WO2009101976A1 (ja) * 2008-02-15 2009-08-20 Nec Corporation プログラム並列化装置、プログラム並列化方法及びプログラム並列化プログラム
EP2257874A4 (en) 2008-03-27 2013-07-17 Rocketick Technologies Ltd DESIGN SIMULATION ON THE BASIS OF PARALLEL PROCESSORS
JP4996519B2 (ja) * 2008-03-27 2012-08-08 パナソニック株式会社 仮想マルチプロセッサ、システムlsi、携帯電話機器、及び仮想マルチプロセッサの制御方法
US8566830B2 (en) * 2008-05-16 2013-10-22 Microsoft Corporation Local collections of tasks in a scheduler
US8561072B2 (en) * 2008-05-16 2013-10-15 Microsoft Corporation Scheduling collections in a scheduler
US8433884B2 (en) 2008-06-19 2013-04-30 Panasonic Corporation Multiprocessor
US9032377B2 (en) * 2008-07-10 2015-05-12 Rocketick Technologies Ltd. Efficient parallel computation of dependency problems
US20100011357A1 (en) * 2008-07-13 2010-01-14 International Business Machines Corporation System and method for garbage collection in a virtual machine
US9418005B2 (en) 2008-07-15 2016-08-16 International Business Machines Corporation Managing garbage collection in a data processing system
US20100017583A1 (en) * 2008-07-15 2010-01-21 International Business Machines Corporation Call Stack Sampling for a Multi-Processor System
US8024732B2 (en) * 2008-07-28 2011-09-20 Microsoft Corporation State separation for application changes
US9449314B2 (en) * 2008-10-02 2016-09-20 International Business Machines Corporation Virtualization of a central processing unit measurement facility
US7827321B2 (en) 2008-10-02 2010-11-02 International Business Machines Corporation Central processing unit measurement facility
US8209559B2 (en) * 2008-12-24 2012-06-26 Intel Corporation Low power polling techniques
JP2010204874A (ja) * 2009-03-03 2010-09-16 Nec Corp スレッド制御システム、方法及びプログラム
US8561040B2 (en) * 2009-03-10 2013-10-15 Oracle America, Inc. One-pass compilation of virtual instructions
US9250973B2 (en) * 2009-03-12 2016-02-02 Polycore Software, Inc. Apparatus and associated methodology of generating a multi-core communications topology
US9444757B2 (en) 2009-04-27 2016-09-13 Intel Corporation Dynamic configuration of processing modules in a network communications processor architecture
US8910171B2 (en) * 2009-04-27 2014-12-09 Lsi Corporation Thread synchronization in a multi-thread network communications processor architecture
US9461930B2 (en) 2009-04-27 2016-10-04 Intel Corporation Modifying data streams without reordering in a multi-thread, multi-flow network processor
US20100333071A1 (en) * 2009-06-30 2010-12-30 International Business Machines Corporation Time Based Context Sampling of Trace Data with Support for Multiple Virtual Machines
US8593472B1 (en) * 2009-07-31 2013-11-26 Nvidia Corporation System and method for accessing a frame buffer via a storage driver
US10169072B2 (en) * 2009-09-23 2019-01-01 Nvidia Corporation Hardware for parallel command list generation
GB2474521B (en) * 2009-10-19 2014-10-15 Ublox Ag Program flow control
US20110173420A1 (en) * 2010-01-08 2011-07-14 International Business Machines Corporation Processor resume unit
US8447960B2 (en) * 2010-01-08 2013-05-21 International Business Machines Corporation Pausing and activating thread state upon pin assertion by external logic monitoring polling loop exit time condition
KR101644800B1 (ko) * 2010-01-07 2016-08-02 삼성전자주식회사 컴퓨팅 시스템 및 방법
US9331869B2 (en) 2010-03-04 2016-05-03 Nvidia Corporation Input/output request packet handling techniques by a device specific kernel mode driver
US9176783B2 (en) 2010-05-24 2015-11-03 International Business Machines Corporation Idle transitions sampling with execution context
US8516492B2 (en) * 2010-06-11 2013-08-20 International Business Machines Corporation Soft partitions and load balancing
US8843684B2 (en) 2010-06-11 2014-09-23 International Business Machines Corporation Performing call stack sampling by setting affinity of target thread to a current process to prevent target thread migration
JP5548037B2 (ja) * 2010-06-11 2014-07-16 パナソニック株式会社 命令発行制御装置及び方法
US8799872B2 (en) 2010-06-27 2014-08-05 International Business Machines Corporation Sampling with sample pacing
US8667253B2 (en) 2010-08-04 2014-03-04 International Business Machines Corporation Initiating assist thread upon asynchronous event for processing simultaneously with controlling thread and updating its running status in status register
JP5488697B2 (ja) 2010-08-30 2014-05-14 富士通株式会社 マルチコアプロセッサシステム、同期制御方法、および同期制御プログラム
CN103250131B (zh) 2010-09-17 2015-12-16 索夫特机械公司 包括用于早期远分支预测的影子缓存的单周期多分支预测
US8713290B2 (en) 2010-09-20 2014-04-29 International Business Machines Corporation Scaleable status tracking of multiple assist hardware threads
US8793474B2 (en) 2010-09-20 2014-07-29 International Business Machines Corporation Obtaining and releasing hardware threads without hypervisor involvement
US8572628B2 (en) 2010-12-02 2013-10-29 International Business Machines Corporation Inter-thread data communications in a computer processor
US8561070B2 (en) 2010-12-02 2013-10-15 International Business Machines Corporation Creating a thread of execution in a computer processor without operating system intervention
EP2662771A4 (en) * 2011-01-07 2014-05-21 Fujitsu Ltd PLANNING PROCESS AND MULTI-CORE PROCESSOR SYSTEM
US8799904B2 (en) 2011-01-21 2014-08-05 International Business Machines Corporation Scalable system call stack sampling
KR101638225B1 (ko) 2011-03-25 2016-07-08 소프트 머신즈, 인크. 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 명령어 시퀀스 코드 블록의 실행
US9842005B2 (en) 2011-03-25 2017-12-12 Intel Corporation Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
CN108108188B (zh) 2011-03-25 2022-06-28 英特尔公司 用于通过使用由可分区引擎实例化的虚拟核来支持代码块执行的存储器片段
US9128748B2 (en) 2011-04-12 2015-09-08 Rocketick Technologies Ltd. Parallel simulation using multiple co-simulators
US9158592B2 (en) * 2011-05-02 2015-10-13 Green Hills Software, Inc. System and method for time variant scheduling of affinity groups comprising processor core and address spaces on a synchronized multicore processor
KR101639853B1 (ko) 2011-05-20 2016-07-14 소프트 머신즈, 인크. 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 자원들 및 상호접속 구조들의 비집중 할당
CN103649931B (zh) 2011-05-20 2016-10-12 索夫特机械公司 用于支持由多个引擎执行指令序列的互连结构
US8701107B2 (en) 2011-06-14 2014-04-15 Vmware, Inc. Decentralized management of virtualized hosts
US9026630B2 (en) 2011-06-14 2015-05-05 Vmware, Inc. Managing resources in a distributed system using dynamic clusters
US8856784B2 (en) 2011-06-14 2014-10-07 Vmware, Inc. Decentralized management of virtualized hosts
GB2529075A (en) * 2011-06-16 2016-02-10 Imagination Tech Ltd Graphics processor with non-blocking concurrent architecture
CN104750607B (zh) * 2011-06-17 2018-07-06 阿里巴巴集团控股有限公司 一种选择性恢复测试执行的方法及装置
US8695010B2 (en) 2011-10-03 2014-04-08 International Business Machines Corporation Privilege level aware processor hardware resource management facility
CN102495726B (zh) * 2011-11-15 2015-05-20 无锡德思普科技有限公司 机会多线程方法及处理器
WO2013077876A1 (en) 2011-11-22 2013-05-30 Soft Machines, Inc. A microprocessor accelerated code optimizer
US10191746B2 (en) 2011-11-22 2019-01-29 Intel Corporation Accelerated code optimizer for a multiengine microprocessor
FR2984554B1 (fr) * 2011-12-16 2016-08-12 Sagemcom Broadband Sas Bus logiciel
WO2013100959A1 (en) * 2011-12-28 2013-07-04 Intel Corporation Processor accelerator interface virtualization
CN104081344B (zh) * 2012-01-31 2017-10-31 西门子公司 用于运行处理器的方法
JP5894496B2 (ja) * 2012-05-01 2016-03-30 ルネサスエレクトロニクス株式会社 半導体装置
US9003218B2 (en) 2012-05-21 2015-04-07 International Business Machines Corporation Power shifting in multicore platforms by varying SMT levels
US9223687B2 (en) 2012-06-15 2015-12-29 International Business Machines Corporation Determining the logical address of a transaction abort
US9298469B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Management of multiple nested transactions
US9262320B2 (en) 2012-06-15 2016-02-16 International Business Machines Corporation Tracking transactional execution footprint
US9311101B2 (en) 2012-06-15 2016-04-12 International Business Machines Corporation Intra-instructional transaction abort handling
US9298631B2 (en) 2012-06-15 2016-03-29 International Business Machines Corporation Managing transactional and non-transactional store observability
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
KR102083390B1 (ko) 2013-03-15 2020-03-02 인텔 코포레이션 네이티브 분산된 플래그 아키텍처를 이용하여 게스트 중앙 플래그 아키텍처를 에뮬레이션하는 방법
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
EP2972845B1 (en) 2013-03-15 2021-07-07 Intel Corporation A method for executing multithreaded instructions grouped onto blocks
US10534421B2 (en) 2013-06-13 2020-01-14 Microsoft Technology Licensing, Llc Virtual per-processor timers for multiprocessor systems
US9367325B2 (en) * 2013-06-29 2016-06-14 Intel Corporation Common architecture state presentation for processor having processing cores of different types
US9251071B2 (en) 2013-08-30 2016-02-02 Apple Inc. Concurrent inline cache optimization in accessing dynamically typed objects
US9477628B2 (en) * 2013-09-28 2016-10-25 Intel Corporation Collective communications apparatus and method for parallel systems
US9830206B2 (en) * 2013-12-18 2017-11-28 Cray Inc. Cross-thread exception handling
US9558000B2 (en) * 2014-02-06 2017-01-31 Optimum Semiconductor Technologies, Inc. Multithreading using an ordered list of hardware contexts
US9766894B2 (en) 2014-02-06 2017-09-19 Optimum Semiconductor Technologies, Inc. Method and apparatus for enabling a processor to generate pipeline control signals
US9354883B2 (en) * 2014-03-27 2016-05-31 International Business Machines Corporation Dynamic enablement of multithreading
US9594660B2 (en) 2014-03-27 2017-03-14 International Business Machines Corporation Multithreading computer system and program product for executing a query instruction for idle time accumulation among cores
US9195493B2 (en) 2014-03-27 2015-11-24 International Business Machines Corporation Dispatching multiple threads in a computer
US9921848B2 (en) 2014-03-27 2018-03-20 International Business Machines Corporation Address expansion and contraction in a multithreading computer system
US10102004B2 (en) 2014-03-27 2018-10-16 International Business Machines Corporation Hardware counters to track utilization in a multithreading computer system
US9804846B2 (en) * 2014-03-27 2017-10-31 International Business Machines Corporation Thread context preservation in a multithreading computer system
US9223574B2 (en) 2014-03-27 2015-12-29 International Business Machines Corporation Start virtual execution instruction for dispatching multiple threads in a computer
US9213569B2 (en) 2014-03-27 2015-12-15 International Business Machines Corporation Exiting multiple threads in a computer
US9772867B2 (en) 2014-03-27 2017-09-26 International Business Machines Corporation Control area for managing multiple threads in a computer
US9417876B2 (en) 2014-03-27 2016-08-16 International Business Machines Corporation Thread context restoration in a multithreading computer system
GB201406392D0 (en) * 2014-04-09 2014-05-21 Advanced Risc Mach Ltd Data processing systems
US9652262B2 (en) * 2014-10-09 2017-05-16 The Regents Of The University Of Michigan Operation parameter control based upon queued instruction characteristics
US9733987B2 (en) * 2015-02-20 2017-08-15 Intel Corporation Techniques to dynamically allocate resources of configurable computing resources
US9747108B2 (en) * 2015-03-27 2017-08-29 Intel Corporation User-level fork and join processors, methods, systems, and instructions
US20160381050A1 (en) 2015-06-26 2016-12-29 Intel Corporation Processors, methods, systems, and instructions to protect shadow stacks
RU2609744C1 (ru) * 2015-10-05 2017-02-02 Олег Александрович Козелков Логический процессор
US20170139740A1 (en) * 2015-11-12 2017-05-18 Futurewei Technologies, Inc. Systems and Methods for Real Time Context Based Isolation and Virtualization
US10394556B2 (en) 2015-12-20 2019-08-27 Intel Corporation Hardware apparatuses and methods to switch shadow stack pointers
US10459727B2 (en) 2015-12-31 2019-10-29 Microsoft Technology Licensing, Llc Loop code processor optimizations
US10592252B2 (en) 2015-12-31 2020-03-17 Microsoft Technology Licensing, Llc Efficient instruction processing for sparse data
US10430580B2 (en) 2016-02-04 2019-10-01 Intel Corporation Processor extensions to protect stacks during ring transitions
US10318356B2 (en) * 2016-03-31 2019-06-11 International Business Machines Corporation Operation of a multi-slice processor implementing a hardware level transfer of an execution thread
US10318302B2 (en) * 2016-06-03 2019-06-11 Synopsys, Inc. Thread switching in microprocessor without full save and restore of register file
US10558463B2 (en) 2016-06-03 2020-02-11 Synopsys, Inc. Communication between threads of multi-thread processor
US10628320B2 (en) 2016-06-03 2020-04-21 Synopsys, Inc. Modulization of cache structure utilizing independent tag array and data array in microprocessor
US10249017B2 (en) * 2016-08-11 2019-04-02 Intel Corporation Apparatus and method for shared resource partitioning through credit management
US10552158B2 (en) 2016-08-18 2020-02-04 Synopsys, Inc. Reorder buffer scoreboard having multiple valid bits to indicate a location of data
US10613859B2 (en) 2016-08-18 2020-04-07 Synopsys, Inc. Triple-pass execution using a retire queue having a functional unit to independently execute long latency instructions and dependent instructions
US10678599B2 (en) * 2016-09-13 2020-06-09 Rockley Photonics Limited Hardware efficient system and method for load balancing using a random number
US10552212B2 (en) * 2016-11-28 2020-02-04 Arm Limited Data processing
US10579499B2 (en) * 2017-04-04 2020-03-03 International Business Machines Corporation Task latency debugging in symmetric multiprocessing computer systems
US10613989B2 (en) 2017-07-14 2020-04-07 Arm Limited Fast address translation for virtual machines
US10534719B2 (en) 2017-07-14 2020-01-14 Arm Limited Memory system for a data processing network
US10489304B2 (en) 2017-07-14 2019-11-26 Arm Limited Memory address translation
US10565126B2 (en) 2017-07-14 2020-02-18 Arm Limited Method and apparatus for two-layer copy-on-write
US10592424B2 (en) 2017-07-14 2020-03-17 Arm Limited Range-based memory system
US10353826B2 (en) * 2017-07-14 2019-07-16 Arm Limited Method and apparatus for fast context cloning in a data processing system
US10467159B2 (en) 2017-07-14 2019-11-05 Arm Limited Memory node controller
GB2565338B (en) * 2017-08-10 2020-06-03 Mips Tech Llc Fault detecting and fault tolerant multi-threaded processors
US20190065199A1 (en) 2017-08-31 2019-02-28 MIPS Tech, LLC Saving and restoring non-contiguous blocks of preserved registers
WO2019183831A1 (en) * 2018-03-28 2019-10-03 Intel Corporation Address space identifier management in complex input/output virtualization environments
US10884850B2 (en) 2018-07-24 2021-01-05 Arm Limited Fault tolerant memory system
US10740104B2 (en) * 2018-08-16 2020-08-11 International Business Machines Corporation Tagging target branch predictors with context with index modification and late stop fetch on tag mismatch
CN110955503B (zh) * 2018-09-27 2023-06-27 深圳市创客工场科技有限公司 任务调度方法及装置
US11080062B2 (en) 2019-01-12 2021-08-03 MIPS Tech, LLC Address manipulation using indices and tags
GB2581821B (en) * 2019-02-28 2021-06-30 Advanced Risc Mach Ltd Conditional yield to hypervisor instruction
US11474861B1 (en) * 2019-11-27 2022-10-18 Meta Platforms Technologies, Llc Methods and systems for managing asynchronous function calls
US11294709B2 (en) 2020-02-18 2022-04-05 Nxp Usa, Inc. System and method of obtaining multiple factor performance gain in processing system
GB202012418D0 (en) * 2020-08-10 2020-09-23 Nordic Semiconductor Asa Processing apparatus
US11586443B2 (en) * 2020-10-20 2023-02-21 Micron Technology, Inc. Thread-based processor halting
US20230058935A1 (en) * 2021-08-18 2023-02-23 Micron Technology, Inc. Managing return parameter allocation

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003019360A2 (en) * 2001-08-24 2003-03-06 International Business Machines Corporation System for yielding to a processor

Family Cites Families (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578142A (en) * 1897-03-02 Jacob fierz
US3665404A (en) 1970-04-09 1972-05-23 Burroughs Corp Multi-processor processing system having interprocessor interrupt apparatus
EP0256149B1 (en) 1986-08-13 1993-05-26 Toshiba Kikai Kabushiki Kaisha Computer system
JPS6258341A (ja) 1985-09-03 1987-03-14 Fujitsu Ltd 入出力割込処理方式
US4817051A (en) 1987-07-02 1989-03-28 Fairchild Semiconductor Corporation Expandable multi-port random access memory
US4843541A (en) 1987-07-29 1989-06-27 International Business Machines Corporation Logical resource partitioning of a data processing system
US5159686A (en) 1988-02-29 1992-10-27 Convex Computer Corporation Multi-processor computer system having process-independent communication register addressing
US5428754A (en) * 1988-03-23 1995-06-27 3Dlabs Ltd Computer system with clock shared between processors executing separate instruction streams
EP0473714A1 (en) 1989-05-26 1992-03-11 Massachusetts Institute Of Technology Parallel multithreaded data processing system
US5253308A (en) * 1989-06-21 1993-10-12 Amber Engineering, Inc. Massively parallel digital image data processor using pixel-mapped input/output and relative indexed addressing
US5148538A (en) * 1989-10-20 1992-09-15 International Business Machines Corporation Translation look ahead based cache access
US5247694A (en) * 1990-06-14 1993-09-21 Thinking Machines Corporation System and method for generating communications arrangements for routing data in a massively parallel processing system
US5410710A (en) 1990-12-21 1995-04-25 Intel Corporation Multiprocessor programmable interrupt controller system adapted to functional redundancy checking processor systems
FR2677474B1 (fr) 1991-06-04 1993-09-24 Sextant Avionique Dispositif permettant d'accroitre les performances d'un noyau d'executif temps reel associe a une structure multiprocesseur pouvant comprendre un nombre eleve de processeurs.
US5542076A (en) 1991-06-14 1996-07-30 Digital Equipment Corporation Method and apparatus for adaptive interrupt servicing in data processing system
US5301298A (en) * 1991-10-11 1994-04-05 Intel Corporation Processor for multiple cache coherent protocols
JPH05204656A (ja) * 1991-11-30 1993-08-13 Toshiba Corp スレッド固有データ保持方法
US5515538A (en) 1992-05-29 1996-05-07 Sun Microsystems, Inc. Apparatus and method for interrupt handling in a multi-threaded operating system kernel
CA2100540A1 (en) 1992-10-19 1994-04-20 Jonel George System and method for performing resource reconfiguration in a computer system
US5784589A (en) * 1993-10-18 1998-07-21 Cyrix Corporation Distributed free register tracking for register renaming using an availability tracking register associated with each stage of an execution pipeline
US5758142A (en) 1994-05-31 1998-05-26 Digital Equipment Corporation Trainable apparatus for predicting instruction outcomes in pipelined processors
US5481719A (en) 1994-09-09 1996-01-02 International Business Machines Corporation Exception handling method and apparatus for a microkernel data processing system
SE9404294D0 (sv) 1994-12-09 1994-12-09 Ellemtel Utvecklings Ab sätt och anordning vid telekommunikation
JP3169779B2 (ja) * 1994-12-19 2001-05-28 日本電気株式会社 マルチスレッドプロセッサ
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5812811A (en) * 1995-02-03 1998-09-22 International Business Machines Corporation Executing speculative parallel instructions threads with forking and inter-thread communication
US5724565A (en) 1995-02-03 1998-03-03 International Business Machines Corporation Method and system for processing first and second sets of instructions by first and second types of processing systems
US5867704A (en) * 1995-02-24 1999-02-02 Matsushita Electric Industrial Co., Ltd. Multiprocessor system shaving processor based idle state detection and method of executing tasks in such a multiprocessor system
US5727203A (en) 1995-03-31 1998-03-10 Sun Microsystems, Inc. Methods and apparatus for managing a database in a distributed object operating environment using persistent and transient cache
US5799188A (en) 1995-12-15 1998-08-25 International Business Machines Corporation System and method for managing variable weight thread contexts in a multithreaded computer system
US5835748A (en) 1995-12-19 1998-11-10 Intel Corporation Method for executing different sets of instructions that cause a processor to perform different data type operations on different physical registers files that logically appear to software as a single aliased register file
US5706514A (en) 1996-03-04 1998-01-06 Compaq Computer Corporation Distributed execution of mode mismatched commands in multiprocessor computer systems
US5892934A (en) 1996-04-02 1999-04-06 Advanced Micro Devices, Inc. Microprocessor configured to detect a branch to a DSP routine and to direct a DSP to execute said routine
US5790871A (en) 1996-05-17 1998-08-04 Advanced Micro Devices System and method for testing and debugging a multiprocessing interrupt controller
US5944816A (en) 1996-05-17 1999-08-31 Advanced Micro Devices, Inc. Microprocessor configured to execute multiple threads including interrupt service routines
US5933627A (en) 1996-07-01 1999-08-03 Sun Microsystems Thread switch on blocked load or store using instruction thread field
JP2882475B2 (ja) 1996-07-12 1999-04-12 日本電気株式会社 スレッド実行方法
US5812830A (en) * 1996-11-14 1998-09-22 Hewlett-Packard Company Debug system with raw mode trigger capability
US5854922A (en) * 1997-01-16 1998-12-29 Ford Motor Company Micro-sequencer apparatus and method of combination state machine and instruction memory
US5949994A (en) 1997-02-12 1999-09-07 The Dow Chemical Company Dedicated context-cycling computer with timed context
US6314511B2 (en) * 1997-04-03 2001-11-06 University Of Washington Mechanism for freeing registers on processors that perform dynamic out-of-order execution of instructions using renaming registers
US6067608A (en) * 1997-04-15 2000-05-23 Bull Hn Information Systems Inc. High performance mechanism for managing allocation of virtual memory buffers to virtual processes on a least recently used basis
US6175916B1 (en) 1997-05-06 2001-01-16 Microsoft Corporation Common-thread inter-process function calls invoked by jumps to invalid addresses
US5870597A (en) * 1997-06-25 1999-02-09 Sun Microsystems, Inc. Method for speculative calculation of physical register addresses in an out of order processor
US6658447B2 (en) * 1997-07-08 2003-12-02 Intel Corporation Priority based simultaneous multi-threading
US6128641A (en) * 1997-09-12 2000-10-03 Siemens Aktiengesellschaft Data processing unit with hardware assisted context switching capability
US5991856A (en) 1997-09-30 1999-11-23 Network Associates, Inc. System and method for computer operating system protection
US6697935B1 (en) 1997-10-23 2004-02-24 International Business Machines Corporation Method and apparatus for selecting thread switch events in a multithreaded processor
US5923892A (en) * 1997-10-27 1999-07-13 Levy; Paul S. Host processor and coprocessor arrangement for processing platform-independent code
US6061710A (en) 1997-10-29 2000-05-09 International Business Machines Corporation Multithreaded processor incorporating a thread latch register for interrupt service new pending threads
US6647508B2 (en) 1997-11-04 2003-11-11 Hewlett-Packard Development Company, L.P. Multiprocessor computer architecture with multiple operating system instances and software controlled resource allocation
US6014737A (en) * 1997-11-19 2000-01-11 Sony Corporation Of Japan Method and system for allowing a processor to perform read bypassing while automatically maintaining input/output data integrity
US6189083B1 (en) * 1998-02-26 2001-02-13 Compaq Computer Corporation Method and apparatus for accessing a cache memory utilization distingushing bit RAMs
US6088787A (en) 1998-03-30 2000-07-11 Celestica International Inc. Enhanced program counter stack for multi-tasking central processing unit
US6560626B1 (en) 1998-04-02 2003-05-06 Microsoft Corporation Thread interruption with minimal resource usage using an asynchronous procedure call
US6092175A (en) * 1998-04-02 2000-07-18 University Of Washington Shared register storage mechanisms for multithreaded computer systems with out-of-order execution
JP3611964B2 (ja) * 1998-04-16 2005-01-19 富士通株式会社 記憶装置、記憶制御方法及び記憶媒体
JP3209205B2 (ja) * 1998-04-28 2001-09-17 日本電気株式会社 プロセッサにおけるレジスタ内容の継承装置
US6496847B1 (en) 1998-05-15 2002-12-17 Vmware, Inc. System and method for virtualizing computer systems
US6189093B1 (en) 1998-07-21 2001-02-13 Lsi Logic Corporation System for initiating exception routine in response to memory access exception by storing exception information and exception bit within architectured register
US6253306B1 (en) 1998-07-29 2001-06-26 Advanced Micro Devices, Inc. Prefetch instruction mechanism for processor
US6920634B1 (en) 1998-08-03 2005-07-19 International Business Machines Corporation Detecting and causing unsafe latent accesses to a resource in multi-threaded programs
US6223228B1 (en) 1998-09-17 2001-04-24 Bull Hn Information Systems Inc. Apparatus for synchronizing multiple processors in a data processing system
US6205414B1 (en) 1998-10-02 2001-03-20 International Business Machines Corporation Methodology for emulation of multi-threaded processes in a single-threaded operating system
US6380945B1 (en) * 1998-11-09 2002-04-30 Broadcom Corporation Graphics display system with color look-up table loading mechanism
US6952827B1 (en) 1998-11-13 2005-10-04 Cray Inc. User program and operating system interface in a multithreaded environment
US6286027B1 (en) 1998-11-30 2001-09-04 Lucent Technologies Inc. Two step thread creation with register renaming
US6205543B1 (en) 1998-12-03 2001-03-20 Sun Microsystems, Inc. Efficient handling of a large register file for context switching
US7020879B1 (en) 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6401155B1 (en) 1998-12-22 2002-06-04 Philips Electronics North America Corporation Interrupt/software-controlled thread processing
US7111290B1 (en) 1999-01-28 2006-09-19 Ati International Srl Profiling program execution to identify frequently-executed portions and to assist binary translation
US7275246B1 (en) 1999-01-28 2007-09-25 Ati International Srl Executing programs for a first computer architecture on a computer of a second architecture
US6330656B1 (en) * 1999-03-31 2001-12-11 International Business Machines Corporation PCI slot control apparatus with dynamic configuration for partitioned systems
JP5285828B2 (ja) 1999-04-09 2013-09-11 ラムバス・インコーポレーテッド 並列データ処理装置
JP2000305795A (ja) 1999-04-20 2000-11-02 Nec Corp 並列処理装置
US6542991B1 (en) * 1999-05-11 2003-04-01 Sun Microsystems, Inc. Multiple-thread processor with single-thread interface shared among threads
US6986137B1 (en) 1999-09-28 2006-01-10 International Business Machines Corporation Method, system and program products for managing logical processors of a computing environment
US6493741B1 (en) 1999-10-01 2002-12-10 Compaq Information Technologies Group, L.P. Method and apparatus to quiesce a portion of a simultaneous multithreaded central processing unit
US6738796B1 (en) 1999-10-08 2004-05-18 Globespanvirata, Inc. Optimization of memory requirements for multi-threaded operating systems
US6889319B1 (en) 1999-12-09 2005-05-03 Intel Corporation Method and apparatus for entering and exiting multiple threads within a multithreaded processor
US6671795B1 (en) 2000-01-21 2003-12-30 Intel Corporation Method and apparatus for pausing execution in a processor or the like
US20010052053A1 (en) 2000-02-08 2001-12-13 Mario Nemirovsky Stream processing unit for a multi-streaming processor
US7649901B2 (en) 2000-02-08 2010-01-19 Mips Technologies, Inc. Method and apparatus for optimizing selection of available contexts for packet processing in multi-stream packet processing
US6658449B1 (en) * 2000-02-17 2003-12-02 International Business Machines Corporation Apparatus and method for periodic load balancing in a multiple run queue system
US6957432B2 (en) 2000-03-21 2005-10-18 Microsoft Corporation Real-time scheduler
US20010034751A1 (en) 2000-04-21 2001-10-25 Shinichiro Eto Real-time OS simulator
US6668308B2 (en) 2000-06-10 2003-12-23 Hewlett-Packard Development Company, L.P. Scalable architecture based on single-chip multiprocessing
US6480845B1 (en) 2000-06-14 2002-11-12 Bull Hn Information Systems Inc. Method and data processing system for emulating virtual memory working spaces
US20020016869A1 (en) 2000-06-22 2002-02-07 Guillaume Comeau Data path engine
US6591379B1 (en) 2000-06-23 2003-07-08 Microsoft Corporation Method and system for injecting an exception to recover unsaved data
US6941353B1 (en) 2000-06-29 2005-09-06 Auran Holdings Pty Ltd E-commerce system and method relating to program objects
SE522271C2 (sv) * 2000-07-05 2004-01-27 Ericsson Telefon Ab L M Förfarande och anordning i kopplingsnod för ett telesystem
WO2002021323A2 (en) 2000-09-08 2002-03-14 Avaz Networks Hardware function generator support in a dsp
US6728846B2 (en) 2000-12-22 2004-04-27 Bull Hn Information Systems Inc. Method and data processing system for performing atomic multiple word writes
US6907520B2 (en) * 2001-01-11 2005-06-14 Sun Microsystems, Inc. Threshold-based load address prediction and new thread identification in a multithreaded microprocessor
US20020103847A1 (en) 2001-02-01 2002-08-01 Hanan Potash Efficient mechanism for inter-thread communication within a multi-threaded computer system
US6643759B2 (en) 2001-03-30 2003-11-04 Mips Technologies, Inc. Mechanism to extend computer memory protection schemes
US6671791B1 (en) * 2001-06-15 2003-12-30 Advanced Micro Devices, Inc. Processor including a translation unit for selectively translating virtual addresses of different sizes using a plurality of paging tables and mapping mechanisms
JP3630118B2 (ja) 2001-07-12 2005-03-16 日本電気株式会社 スレッド終了方法及び装置並びに並列プロセッサシステム
JP3702813B2 (ja) * 2001-07-12 2005-10-05 日本電気株式会社 マルチスレッド実行方法及び並列プロセッサシステム
JP3702815B2 (ja) * 2001-07-12 2005-10-05 日本電気株式会社 プロセッサ間レジスタ継承方法及びその装置
JP3702814B2 (ja) * 2001-07-12 2005-10-05 日本電気株式会社 マルチスレッド実行方法及び並列プロセッサシステム
JP3632635B2 (ja) * 2001-07-18 2005-03-23 日本電気株式会社 マルチスレッド実行方法及び並列プロセッサシステム
US7181600B1 (en) 2001-08-02 2007-02-20 Mips Technologies, Inc. Read-only access to CPO registers
US7185183B1 (en) * 2001-08-02 2007-02-27 Mips Technologies, Inc. Atomic update of CPO state
US6779065B2 (en) * 2001-08-31 2004-08-17 Intel Corporation Mechanism for interrupt handling in computer systems that support concurrent execution of multiple threads
US7487339B2 (en) 2001-10-12 2009-02-03 Mips Technologies, Inc. Method and apparatus for binding shadow registers to vectored interrupts
US6877083B2 (en) 2001-10-16 2005-04-05 International Business Machines Corporation Address mapping mechanism for behavioral memory enablement within a data processing system
US7120762B2 (en) 2001-10-19 2006-10-10 Wisconsin Alumni Research Foundation Concurrent execution of critical sections by eliding ownership of locks
US6957323B2 (en) 2001-11-14 2005-10-18 Elan Research, Inc. Operand file using pointers and reference counters and a method of use
JP2003167748A (ja) * 2001-11-30 2003-06-13 Fujitsu Ltd マルチスレッド計算機
US7428732B2 (en) 2001-12-05 2008-09-23 Intel Corporation Method and apparatus for controlling access to shared resources in an environment with multiple logical processors
JP4054572B2 (ja) 2001-12-17 2008-02-27 キヤノン株式会社 アプリケーション実行システム
US20030126416A1 (en) 2001-12-31 2003-07-03 Marr Deborah T. Suspending execution of a thread in a multi-threaded processor
US7127561B2 (en) 2001-12-31 2006-10-24 Intel Corporation Coherency techniques for suspending execution of a thread until a specified memory access occurs
US6925550B2 (en) 2002-01-02 2005-08-02 Intel Corporation Speculative scheduling of instructions with source operand validity bit and rescheduling upon carried over destination operand invalid bit detection
US7216338B2 (en) 2002-02-20 2007-05-08 Microsoft Corporation Conformance execution of non-deterministic specifications for components
US6922745B2 (en) 2002-05-02 2005-07-26 Intel Corporation Method and apparatus for handling locks
US20040015684A1 (en) 2002-05-30 2004-01-22 International Business Machines Corporation Method, apparatus and computer program product for scheduling multiple threads for a processor
US20030225816A1 (en) 2002-06-03 2003-12-04 Morrow Michael W. Architecture to support multiple concurrent threads of execution on an arm-compatible processor
US20050033889A1 (en) 2002-10-08 2005-02-10 Hass David T. Advanced processor with interrupt delivery mechanism for multi-threaded multi-CPU system on a chip
US7334086B2 (en) 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US6971103B2 (en) 2002-10-15 2005-11-29 Sandbridge Technologies, Inc. Inter-thread communications using shared interrupt register
US7073042B2 (en) * 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US7203823B2 (en) 2003-01-09 2007-04-10 Sony Corporation Partial and start-over threads in embedded real-time kernel
US7152170B2 (en) 2003-02-20 2006-12-19 Samsung Electronics Co., Ltd. Simultaneous multi-threading processor circuits and computer program products configured to operate at different performance levels based on a number of operating threads and methods of operating
US6977093B2 (en) * 2003-03-24 2005-12-20 Hung Chien-Lung Health food processing process using germinated rice to make health food containing natural eatable fibers, GABA, IP6, and probiotic
EP1467282B1 (en) 2003-04-09 2008-10-01 Jaluna SA Operating systems
US7093106B2 (en) * 2003-04-23 2006-08-15 International Business Machines Corporation Register rename array with individual thread bits set upon allocation and cleared upon instruction completion
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
DE602004017879D1 (de) 2003-08-28 2009-01-02 Mips Tech Inc Integrierter mechanismus zum suspendieren und endznem prozessor
US7376954B2 (en) 2003-08-28 2008-05-20 Mips Technologies, Inc. Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7418585B2 (en) 2003-08-28 2008-08-26 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
WO2005022384A1 (en) 2003-08-28 2005-03-10 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
US20050050305A1 (en) 2003-08-28 2005-03-03 Kissell Kevin D. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7836450B2 (en) 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US6993598B2 (en) 2003-10-09 2006-01-31 International Business Machines Corporation Method and apparatus for efficient sharing of DMA resource
US7558939B2 (en) 2005-03-08 2009-07-07 Mips Technologies, Inc. Three-tiered translation lookaside buffer hierarchy in a multithreading microprocessor
US7600135B2 (en) 2005-04-14 2009-10-06 Mips Technologies, Inc. Apparatus and method for software specified power management performance using low power virtual threads
US7627770B2 (en) 2005-04-14 2009-12-01 Mips Technologies, Inc. Apparatus and method for automatic low power mode invocation in a multi-threaded processor
US7689867B2 (en) 2005-06-09 2010-03-30 Intel Corporation Multiprocessor breakpoint
US7386636B2 (en) * 2005-08-19 2008-06-10 International Business Machines Corporation System and method for communicating command parameters between a processor and a memory flow controller
US7657683B2 (en) 2008-02-01 2010-02-02 Redpine Signals, Inc. Cross-thread interrupt controller for a multi-thread processor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003019360A2 (en) * 2001-08-24 2003-03-06 International Business Machines Corporation System for yielding to a processor

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8266620B2 (en) 2003-08-28 2012-09-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) 2003-08-28 2011-01-11 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7676664B2 (en) 2003-08-28 2010-03-09 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7676660B2 (en) 2003-08-28 2010-03-09 Mips Technologies, Inc. System, method, and computer program product for conditionally suspending issuing instructions of a thread
US7694304B2 (en) 2003-08-28 2010-04-06 Mips Technologies, Inc. Mechanisms for dynamic configuration of virtual processor resources
US7711931B2 (en) 2003-08-28 2010-05-04 Mips Technologies, Inc. Synchronized storage providing multiple synchronization semantics
US7725697B2 (en) 2003-08-28 2010-05-25 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7730291B2 (en) 2003-08-28 2010-06-01 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US7725689B2 (en) 2003-08-28 2010-05-25 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7836450B2 (en) 2003-08-28 2010-11-16 Mips Technologies, Inc. Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7610473B2 (en) 2003-08-28 2009-10-27 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
US8145884B2 (en) 2003-08-28 2012-03-27 Mips Technologies, Inc. Apparatus, method and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
WO2010095416A1 (ja) * 2009-02-17 2010-08-26 パナソニック株式会社 マルチスレッドプロセッサ及びデジタルテレビシステム
WO2010095182A1 (ja) * 2009-02-17 2010-08-26 パナソニック株式会社 マルチスレッドプロセッサ及びデジタルテレビシステム
JP2016535913A (ja) * 2013-10-31 2016-11-17 シリコン テーラー リミテッド パイプライン化構成可能プロセッサ
US10275390B2 (en) 2013-10-31 2019-04-30 Silicon Tailor Limited Pipelined configurable processor
JP2020109605A (ja) * 2018-12-31 2020-07-16 グラフコアー リミテッドGraphcore Limited マルチスレッドプロセッサのレジスタファイル

Also Published As

Publication number Publication date
US20100115243A1 (en) 2010-05-06
EP1658563A1 (en) 2006-05-24
US20050125795A1 (en) 2005-06-09
US7676660B2 (en) 2010-03-09
JP4740851B2 (ja) 2011-08-03
US20050125629A1 (en) 2005-06-09
US7610473B2 (en) 2009-10-27
WO2005022381A3 (en) 2005-06-16
JP2007504539A (ja) 2007-03-01
US20050120194A1 (en) 2005-06-02
WO2005022381A2 (en) 2005-03-10
US8145884B2 (en) 2012-03-27
US20050240936A1 (en) 2005-10-27
US20080140998A1 (en) 2008-06-12
US7694304B2 (en) 2010-04-06
US7321965B2 (en) 2008-01-22
JP4818918B2 (ja) 2011-11-16
EP1660993A2 (en) 2006-05-31
JP4818919B2 (ja) 2011-11-16
JP2007504535A (ja) 2007-03-01
US7424599B2 (en) 2008-09-09
EP1660993B1 (en) 2008-11-19
EP1658563B1 (en) 2013-06-05
DE602004017879D1 (de) 2009-01-02
EP1660998A1 (en) 2006-05-31

Similar Documents

Publication Publication Date Title
JP4740851B2 (ja) 仮想プロセッサリソースの動的構成のための機構体
US7418585B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US8266620B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7870553B2 (en) Symmetric multiprocessor operating system for execution on non-independent lightweight thread contexts
US7376954B2 (en) Mechanisms for assuring quality of service for programs executing on a multithreaded processor
US20060161421A1 (en) Software emulation of directed exceptions in a multithreading processor
US20050050305A1 (en) Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US20060161921A1 (en) Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
WO2005022385A1 (en) Mechanisms for dynamic configuration of virtual processor resources

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20061026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090303

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090609

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101022

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110405

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110502

R150 Certificate of patent or registration of utility model

Ref document number: 4740851

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140513

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees