JP2007318132A - シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体 - Google Patents

シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体 Download PDF

Info

Publication number
JP2007318132A
JP2007318132A JP2007130825A JP2007130825A JP2007318132A JP 2007318132 A JP2007318132 A JP 2007318132A JP 2007130825 A JP2007130825 A JP 2007130825A JP 2007130825 A JP2007130825 A JP 2007130825A JP 2007318132 A JP2007318132 A JP 2007318132A
Authority
JP
Japan
Prior art keywords
contact
metal
silicide
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007130825A
Other languages
English (en)
Other versions
JP5362965B2 (ja
Inventor
Conal Eugene Murray
コナル・ユージーン・マレー
Christian Lavoie
クリスチャン・ラボイエ
Kenneth P Rodbell
ケネス・パーカー・ロドベル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007318132A publication Critical patent/JP2007318132A/ja
Application granted granted Critical
Publication of JP5362965B2 publication Critical patent/JP5362965B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体を提供する。
【解決手段】上に配置される少なくとも1つの電界効果トランジスタを含み、前記少なくとも1つの電界効果トランジスタに隣接して配置されるシリサイド・コンタクト領域16A,16B,16Cを含む、半導体基板12と、前記半導体基板上に配置され、前記少なくとも1つの電界効果トランジスタの上に延び、前記シリサイド・コンタクト領域を露出させるコンタクト開口部20を有する絶縁中間層18と、前記コンタクト開口部内の金属ゲルマニウム化物含有コンタクト材料24とを備える。
【選択図】図2

Description

本発明は、半導体構造体及びその製造方法に関する。より具体的には、本発明は、典型的にはシリサイド・コンタクトとその上のメタライゼーションとの間の、コンタクト開口部における接触抵抗が低減される半導体構造体に関する。本発明はまた、こうした半導体構造体を製造する方法に関する。
素子密度の増加及び性能の向上に対する絶えず増加する要求を満たすためには、低k誘電体材料(二酸化シリコンより小さな誘電率)と、二重ダマシン法によって規定される銅メタラジの相互接続配線とからなる半導体技術が、後工程(BEOL)に関する今日の選択である。乾燥空気が理論上最低の1という誘電率を有するので、エーロゲル、水素シルセスキオキサン(HSQ)、フッ素化有機ポリマー、及び有機シリケート・ガラス(例えば、SiCOH)等の大部分の低k(low−k)材料が、化学気相堆積(CVD)法によって堆積させられる。障壁層の選択には、タンタル、タングステン及びチタン・ベースの合金が挙げられる。
高性能相互接続は、異なる層の高導電率メタラジ配線によって形成されるが、これらの層は誘電体材料層で互いから絶縁され、所望のポイントにおいて相互接続される。相互接続配線構造体への腐食性不純物の進入を防止するか又は低減するために、相互接続配線の最上層の少なくとも1つの層は、シラン(SiH)又はテトラエチルオルトシリケート(TEOS)前駆物質を用いたプラズマ増強化学気相堆積(PECVD)によって堆積させた酸化シリコン等の、従来の標準的な絶縁体の1つ又は複数の層に埋め込まれる。従って、今日の高性能相互接続は、低k誘電体のSiCOHに埋め込まれ、上部及び底部が、それぞれPECVD酸化物及びBPSGの遥かに高密度の層に結合された、高導電率の銅相互接続の1つ又は複数の層で構成されている。
前工程(FEOL)は、バルク・シリコン又は絶縁体上シリコン(SOI)ウェーハからのシリコン、電気的絶縁のための高k誘電体の窒化シリコン・フィーチャ、及び相補型金属酸化物半導体(CMOS)構造におけるトランジスタの上部のポリシリコン・ゲート材料で構成されるトランジスタを製造するのに用いられる層に対応する。CMOSトランジスタのソース、ゲート及びドレイン領域に対応するコンタクトには、薄い金属層をシリコン上に堆積させ、金属シリサイドを形成するようにアニールした、自己整合型シリサイド(SALICIDE)材料を用いることが多い。ニッケルは、およそ15から20μΩ−cmまでのバルク抵抗をもつ、現在のシリサイド・コンタクトとして選択される材料を代表する。
高性能半導体の超小型回路の速度は、デバイス及び相互接続メタライゼーションによる全抵抗に強く依存する。既存の及び次世代のマイクロプロセッサ内の半導体構造体の寸法が減少するにつれて、半導体デバイスの多くの構成構造体の抵抗が増加する。例えば、BEOLメタライゼーションの第1の層をトランジスタのソース及びドレイン領域(FEOL)に接続するビアの抵抗は、微小寸法における電子散乱に起因して、スケール因子の2乗に比例して増加すると予想される。
全ビア(即ち、コンタクト開口部)抵抗の3つの主要な要素は、ビア及び障壁層材料のバルク抵抗率、底部ビア界面における接触抵抗、及び上部ビア界面における接触抵抗である。現在の半導体製造では、ビア材料としてタングステンと、チタン・ベースの障壁層とが用いられる。タングステンは低いバルク抵抗(5.5μΩ−cm)をもつが、ビアのバルク抵抗はまた、ビア内のボイド又はシームの存在によって影響を受け、この問題はビア・ホールが化学気相堆積(CVD)等の通常の堆積法を用いて充填するのが一層困難になるにつれてより顕著になる。しかしながら、障壁層と、その下の、ソース及びドレイン領域の上部に形成されるシリサイド材料との間の底部ビア界面(bottom via interface)における接触抵抗が、全ビア抵抗(total via resistance)の増加部分(increasing fraction)を示す。
この問題は、半導体技術の性能及び開発に対して重大な影響を与える。最下位のBEOLビアとその下にあるシリサイド層との間の界面における接触抵抗の影響を低減する方法が要求されている。
非特許文献1は、低い接触抵抗を有すると言われるNiSiコンタクト材料を開示している。NiSiコンタクト材料は、初めに、二酸化シリコン層にコンタクト開口部を設けることによって作られる。コンタクト開口部を設けた後に、TiN/Ti膜を堆積させる。TiN/Ti膜は、接着/シリサイド化停止層として機能する。次に、非ドープ・ポリSiをコンタクト開口部内に堆積させ、その後、Ni層が形成される。次に、Niシリサイド・コンタクト材料が、アルゴン中で1分間、600℃でアニールすることによって形成される。この従来技術の方法に伴う1つの問題は、Niシリサイドがその前に作られたデバイスに悪影響を及ぼす可能性がある比較的高い温度で形成されることである。
Sumiへの特許文献1は、シリサイド・コンタクト材料を形成するための別の方法を開示している。この従来技術の方法においては、初めにコンタクト開口部を中間層の絶縁層内に形成する。次に、Siベースの材料の単一層を堆積させ、続いて、金属層を堆積させる。金属層は、Zr、Ni、Pd、Cu、Au、又はAgから成る。金属層の堆積後に、Siベースの材料が金属と反応して、コンタクト開口部内にシリサイド材料を形成する温度まで構造体が加熱される。特許文献1においては、600℃のシリサイド化温度も記載されている。
米国特許第5,700,722号明細書 T.Iijima他著、「A novel selective Ni3Si contact material technique for deep−submicron ULSIs」、1992 Symposium on VLSI Technology Digest of Technical Papers、IEEE、70−71ページ
以上を考えると、依然として、コンタクト材料のための新しい材料、及び、それを形成する方法を提供する必要がある。その提供される新しい材料は、コンタクト開口部における接触抵抗を下げることになる。さらに、コンタクト材料を形成するのに使用される熱量が、従来技術のシリサイド・コンタクト材料を製造するのに用いられる熱量より少なくなるようなコンタクト材料を製造する方法を提供することも望ましいことになる。
本発明は、コンタクト開口部における接触抵抗が低減される半導体構造体を提供する。本発明においては、これは、タングステン等の通常のコンタクト・メタラジ、又はNiシリサイド若しくはCuシリサイド等の金属シリサイドを、金属ゲルマニウム化物含有コンタクト材料に替えることによって達成される。この「金属ゲルマニウム化物含有」という用語は、本出願においては、純金属ゲルマニウム化物(即ち、MGe合金)、又はSiを含む金属ゲルマニウム化物(即ち、MSiGe合金)を表すのに用いられる。
即ち、本出願の出願人は、典型的にはコンタクト領域とその上のメタライゼーションとの間の、コンタクト開口部(即ち、ビア)における接触抵抗が、絶縁中間層のコンタクト開口部内に金属ゲルマニウム化物含有コンタクト材料を供給することによって低減できると判断した。本出願の金属ゲルマニウム化物含有コンタクト材料は、等価の金属シリサイド・コンタクト材料と比較してより低いシート抵抗を有する。例えば、本出願の金属ゲルマニウム化物含有コンタクト材料は、約6μΩ−cm又はそれ以下のシート抵抗値を有するが、一方、等価の金属シリサイド・コンタクト材料は、約40μΩ−cm又はそれ以上のシート抵抗を有する。
本発明の1つの実施形態によれば、金属ゲルマニウム化物含有コンタクト材料は、拡散障壁によって下にあるシリサイド・コンタクトから間隔を置かれる。本出願のさらに別の実施形態においては、本発明の金属ゲルマニウム化物含有コンタクト材料は、その下にあるシリサイド・コンタクトと直接接触する。本発明のいずれの実施形態においても、シリサイド・コンタクトは、電界効果トランジスタのソース/ドレイン及び/又はゲート領域上に配置することができる。
本発明の金属ゲルマニウム化物含有コンタクト材料は、金属とGe含有材料との間の反応を引き起こすアニール処理を実行した後には、未反応金属又はGe含有材料を含まないことに注意されたい。
本発明の金属ゲルマニウム化物含有コンタクト材料を形成するのに用いられる金属の選択は、隣接するレベルに対する接触抵抗を最小にするように調整することができる。本出願の好ましい実施形態におけるゲルマニウム化物のバルク抵抗は、約5μΩ−cmから約15μΩ−cmまでの範囲内となるが、接触抵抗は、全ビア抵抗を支配すると予想される。ゲルマニウム化物材料を用いて得られるような低ショットキー障壁(0.4eV未満)を有するビア材料(即ち、コンタクト材料)は、接触抵抗を一桁下げることができる。
概略的に言えば、本発明は、
その上に配置される少なくとも1つの電界効果トランジスタを含み、前述の少なくとも1つの電界効果トランジスタに隣接して配置されるシリサイド・コンタクト領域を含む半導体基板と、
前述の半導体基板上に配置され、前述の少なくとも1つの電界効果トランジスタの上に延び、前述のシリサイド・コンタクト領域を露出させるコンタクト開口部を有する、絶縁中間層と、
前述のコンタクト開口部内の金属ゲルマニウム化物含有コンタクト材料と、
を備える半導体構造体を提供する。
本発明の1つの実施形態によれば、金属ゲルマニウム化物含有コンタクト材料は、拡散障壁によってその下にあるシリサイド・コンタクトから間隔を置かれる。本出願のさらに別の実施形態においては、本発明の金属ゲルマニウム化物含有コンタクト材料は、下にあるシリサイド・コンタクトと直接接触する。本発明のいずれの実施形態においても、シリサイド・コンタクトは、電界効果トランジスタのソース/ドレイン及び/又はゲート領域上に配置することができる。
本発明の別の実施形態においては、半導体構造体は、
その上に配置される少なくとも1つの電界効果トランジスタを含む半導体基板と、
前述の半導体基板上に配置され、前述の少なくとも1つの電界効果トランジスタの上に延び、コンタクト開口部を有する、絶縁中間層と、
前述のコンタクト開放部内にあって、デバイス・コンタクトとして機能する下部と、その上のメタライゼーションへのコンタクトとして機能する上部とを有する、連続的な金属ゲルマニウム化物含有材料と、
を備える。
本発明はさらに、上述の半導体構造体を製造する方法を提供する。概略的に言えば、金属ゲルマニウム化物含有コンタクト材料を含む前述の半導体構造体を形成するのに用いられる方法は、
その上に配置される少なくとも1つの電界効果トランジスタを含む半導体基板を準備するステップと、
前述の半導体基板上に配置され、前述の少なくとも1つの電界効果トランジスタの上に延び、コンタクト開口部を有する、絶縁中間層を形成するステップと、
前述のコンタクト開口部内に金属ゲルマニウム化物含有コンタクト材料を形成するステップと、
を含む。
本発明の方法によれば、金属ゲルマニウム化物含有コンタクト材料を形成するステップは、任意の順序で、金属層とGe含有層を堆積させるステップと、金属層とGe含有層の間で反応を引き起こすようにアニールするステップと、あらゆる未反応材料を除去するステップとを含む。
本発明の方法の幾つかの実施形態において、拡散障壁は、金属ゲルマニウム化物含有コンタクト材料を形成する前に、少なくとも絶縁中間層内の開口部の内に形成される。こうした実施形態においては、結果として生じる金属ゲルマニウム化物含有コンタクト材料は、その下にあって、構造体のソース/ドレイン領域及び随意的にゲート領域の上に配置されるシリサイド・コンタクトから間隔を置かれる。本出願のさらに別の実施形態においては、本発明の金属ゲルマニウム化物含有コンタクト材料は、その下のシリサイド・コンタクト領域と直接接触する。本発明のこれらの実施形態のいずれにおいても、シリサイド・コンタクトは、電界効果トランジスタのソース/ドレイン及び/又はゲート領域に配置することができる。本出願のさらに別の実施形態においては、コンタクト材料の下部は、それらの領域へのコンタクトとして機能し、一方、コンタクト材料の上部は、その上にあるメタライゼーションへのコンタクトとして機能する。
金属ゲルマニウム化物含有コンタクト材料を含む半導体構造体を形成するのに用いられる上記の方法に加えて、本発明はさらに、金属ゲルマニウム化物含有コンタクト材料か又は金属シリサイド・コンタクト材料のいずれかを含む構造体を形成する方法を提供する。本発明の方法は、従来技術の処理工程より低いシリサイド/ゲルマニウム化物の形成温度を用い、従って熱使用量を下げることに注意されたい。
概略的に言えば、本発明のこの方法は、
その上に配置される少なくとも1つの電界効果トランジスタを含み、前述の少なくとも1つの電界効果トランジスタに隣接して配置されるシリサイド・コンタクト領域を含む、半導体基板を準備するステップと、
前述の半導体基板上に配置され、前述の少なくとも1つの電界効果トランジスタの上に延び、前述のシリサイド・コンタクト領域を露出させるコンタクト開口部を有する、絶縁中間層を形成するステップと、
前述のコンタクト開口部内に金属シリサイド又は金属ゲルマニウム化物含有コンタクト材料を形成するステップとを含み、前述のコンタクト材料を形成する前述のステップは、約400℃又はそれ以下の温度までアニールするステップを含む。
コンタクト開口部における低減された接触抵抗を有する半導体構造体、及び、それを形成する方法を提供する本発明が、以下の考察及び本出願に添付される図面を参照することによって、これからより詳細に説明される。本明細書において以下でより詳細に参照される本出願の図面は、例証の目的のために提供され、従って、一定の縮尺で描かれてはない。
以下の説明において、本発明の十分な理解を与えるために、特定の構造体、成分、材料、寸法、加工処理ステップ、及び方法等の、多数の特定の詳細が示される。しかしながら、当業者は、これらの特定の詳細なしに本発明を実施できることを認識するであろう。他の場合においては、公知の構造体又は加工処理ステップは、本発明を不明瞭にすることを避けるため、詳細には説明されていない。
層、領域、又は基板としてのある要素が別の要素「上に(on)」又は「の上に(over)」在ると言われるときは、それが他の要素の直接上に在ってもよいし、或いは、中間要素が存在してもよいことを理解されたい。これとは対照的に、ある要素が別の要素「上に直接」又は「の直接上に」在ると言われるときには、中間要素は存在しない。ある要素が別の要素「の真下に」又は「の下に」在ると言われるときは、それが他の要素の真下に又はその下に直接在ってもよいし、或いは、中間要素が存在してもよいことを理解されたい。これとは対照的に、ある要素が別の要素「の直接真下に」又は「の直接下に」在ると言われるときには、中間要素は存在しない。
本発明によれば、典型的には下にあるシリサイド・コンタクト領域と上にあるメタライゼーションとの間の、コンタクト開口部における接触抵抗を低減する金属ゲルマニウム化物含有コンタクト材料が提供される。ゲルマニウム化物含有コンタクト材料を形成する金属の選択は、隣接する材料との接触抵抗を最小にするように調整することができるが、しかしそれは、W、Cu、又はNiを含むことが好ましい。好ましいコンタクト材料は、隣接材料に対して、接触抵抗を決定づけるショットキー障壁の高さが低くあるべきであり、また、下にあるシリサイド・コンタクト領域を形成するのに用いられるより低い温度でのアニールによって形成されるべきである。通常の半導体デバイスの製造においては、下にあるシリサイド・コンタクト領域は、典型的には、ニッケル・シリサイド、或いは、プラチナ、パラジウム、レニウム、タングステン又はそれらの組合せで構成することが可能なニッケル合金のシリサイドで構成される。下にあるシリサイド層とコンタクト材料は、幾つか実施形態においては、拡散障壁によって接合されるため、この拡散障壁の組成は、シリサイド・コンタクト領域と金属ゲルマニウム化物含有コンタクト材料の両方に対する低ショットキー障壁を形成する一方で、これらの領域間の相互拡散を阻止するように選択される。
初めに、本出願の1つの実施形態に用いられる基本的な加工処理ステップを示す図1−図2を参照する。図1−図2に示される実施形態においては、拡散障壁は、本発明の金属ゲルマニウム化物含有コンタクト材料とその下のシリサイド・コンタクト領域との間に配置される。この実施形態においては、拡散障壁が用いられているが、この実施形態ではまた、それが存在しない場合も考慮されている。
まず、本発明に用いられる初めの構造体10を示す図1を参照する。示されるように、初めの構造体10は、その表面上に配置される少なくとも1つの電界効果トランジスタ14を有する半導体基板12を含む。初めの構造体10はさらに、シリサイド・コンタクト領域16A、16B及び16Cを含む。シリサイド・コンタクト領域16A及び16Bは、隣接する電界効果トランジスタ14のソース及びドレイン領域(図示せず)の上に配置されており、一方、シリサイド・コンタクト領域16Cはトランジスタのゲート導体の上に配置されていることに注意されたい。初めの構造体10はゲート導体の上にあるシリサイド・コンタクト領域16Cを考慮するものであるが、本発明は、こうした実施形態に限定されない。本発明の幾つかの実施形態においては、例えば、シリサイド・コンタクト領域は、ゲート導体の上には配置されない。このことは、シリサイド化工程の間、誘電体キャップがゲート導体の上に存在するときに起きる。
初めの構造体10はまた、基板12及び電界効果トランジスタ14の上に配置される中間層誘電体18を含む。中間層誘電体18は、下にあるシリサイド・コンタクト領域16A−16Cの各々の一部を露出させるコンタクト開口部20を有する。
初めの構造体10は、当業者には周知の材料で構成される。さらに、初めの構造体10は、同様に当業者に周知の半導体加工工程を利用して製造される。
本発明に用いられる半導体基板12は、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP及び全ての他のIII/V族又はII/VI族化合物の半導体を含むが、それらに限定はされない任意の半導体材料を含む。半導体基板12はまた、有機半導体、又はSi/SiGe、絶縁体上シリコン(SOI)若しくは絶縁体上SiGe(SGOI)等の積層半導体を含むことができる。本発明の幾つかの実施形態においては、半導体基板12は、Si含有半導体材料、即ちシリコンを含む半導体材料で構成されることが好ましい。半導体基板12は、ドープされていても、ドープされていなくてもよいし、或いは、ドープ領域と非ドープ領域を含んでもよい。
SOI基板を用いるときは、それらの基板は、埋め込み絶縁層によって少なくとも部分的に分離される、上部及び底部の、例えばSiの半導体層を含む。埋め込み絶縁層は、例えば、結晶若しくは非結晶酸化物、窒化物、或いは、これらの任意の組合せを含む。埋め込み絶縁層は、酸化物であることが好ましい。典型的には、埋め込み絶縁層は、層転写工程の初期段階の間に、或いは、例えばSIMOX(酸素イオン注入による分離)等のイオン注入及びアニール処理工程中に形成される。
基板12は、単一の結晶方位を有してもよく、或いは代替的に、異なる結晶方位の表面領域を有するハイブリッド半導体基板を用いることもできる。ハイブリッド基板は、形成される特定のFETの性能を高める特定の結晶方位上にFETを製造することを可能にする。例えば、ハイブリッド基板は、pFETを(110)結晶方位上に形成することができ、一方、nFETは(100)結晶方位上に形成することができる構造体を準備することを可能にする。ハイブリッド基板が用いられるときは、それは、SOI類似の特性、バルク類似の特性、又はSOI類似特性とバルク類似特性の組合せを有することができる。
電界効果トランジスタ14は、一般的には、ゲート誘電体と、その上のゲート導体とを含む。本発明の図面においては、ゲート誘電体及びその上のゲート導体は、分かりやすくするために単一の材料積層体として示されている。
ゲート誘電体(具体的には図示せず)は、約4.0又はそれ以上の誘電率を有する絶縁材料で構成される。本明細書において記載される全ての誘電率は、特に断りのない限り、真空に対する相対値である。1つの実施形態においては、ゲート誘電体は、高k材料を含む。この「高k」という用語は、4.0より大きな、好ましくは7.0より大きな誘電率を有する誘電体を示す。具体的には、本発明に用いられるゲート誘電体は、酸化物、窒化物、酸窒化物、及び/又は、金属シリケート及び窒化金属シリケートを含んだシリケートを含むが、これらに限定はされない。1つの実施形態においては、ゲート誘電体は、例えば、SiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO、Y、Ga、GdGaO、及びこれらの混合物などの酸化物で構成されることが好ましい。
ゲート導体は、例えば、ポリSi、SiGe、金属、金属合金、金属シリサイド、金属窒化物、金属炭化物、又はこれらの多層を含む組合せを含んだ導電性材料で構成される。多層が存在するときは、TiN又はTaN等の拡散障壁(図示せず)は、導電層の各々の間に配置することができる。酸化物又は窒化物等の誘電体キャッピング層(同じく、図示せず)は、ゲート導体(即ち、ゲート電極)の上に配置することができるが、キャッピング層の存在は、前述のゲート電極上のシリサイド・コンタクトのその後の形成を防止するのに利用することができる。ゲート電極上のシリサイド・コンタクトは、一般的には、ゲート電極がSi含有材料を含み、キャッピング層が存在しないときに形成される。
電界効果トランジスタの各々はまた、半導体基板12内に位置するソース/ドレイン領域を含むことに注意されたい。ソース/ドレイン領域は、デバイスのチャネル領域を分割する。チャネル領域は、ゲート誘電体/ゲート導体材料積層体の真下にある。明瞭にするために、電界効果トランジスタ14のソース/ドレイン領域及びチャネル領域は、本出願の図面には示されていない。
電界効果トランジスタ14はまた、ゲート誘電体/ゲート導体材料積層体の側壁上に配置される少なくとも1つのスペーサを含むことができる。この少なくとも1つのスペーサは、例えば、酸化物、窒化物、及び酸窒化物を含む絶縁材料で構成される。一般的には、少なくとも1つのスペーサは、酸化シリコン又は窒化シリコンで構成される。
電界効果トランジスタ、少なくとも1つのスペーサ、及びソース/ドレイン領域は、当業者には周知の通常の加工処理方法を用いて形成される。例えば、電界効果トランジスタは、種々の材料層の堆積と、リソグラフィ及びエッチングによるパターン付けとによって形成することができる。代替的に、電界効果トランジスタは、置換ゲート工程によって形成することができる。ソース/ドレイン領域は、通常のイオン注入及び活性化アニールによって形成され、一方、少なくとも1つのスペーサは、堆積及びエッチングによって形成される。
シリサイド・コンタクト領域16A、16B、及び隋意に16Cは、例えば、Ni、Ti、Co、Pt、Ta、W、Pd、及びその他の類似材料を含む金属成分を有する金属シリサイドで構成される。一般的には、Niシリサイド・コンタクトが形成される。幾つかの実施形態においては、シリサイド・コンタクト領域はまた、Pt、Pd、Re、W、又はこれらの組合せを含むが、それらに限定はされない合金形成添加物を含むことができる。シリサイド・コンタクト領域は、当業者には周知の通常のシリサイド化工程を用いて形成される。この工程は、例えば、Si含有層(Si含有層は、基板自体、或いは、非Si含有基板上に配置される分離層とすることができる)の上に金属層を形成するステップと、隋意にTaN等のキャッピング層を形成するステップと、シリサイドを形成するために第1のアニールを行なうステップと、キャッピング層が使用される場合、及び必要な場合には、キャッピング層を含む任意の未反応金属を選択的にエッチングするステップと、隋意に第2のアニールを実施するステップとを含む。シリサイド・コンタクト領域を形成するのに用いられる第1のアニール・ステップは、一般的には、第2のアニール温度より低い温度で実施される。典型的には、第1のアニール・ステップは、約350℃から約600℃までの温度で実施され、第2のアニール・ステップは、約600℃から約800度までの温度で実施される。
シリサイド・コンタクト領域を形成した後に、コンタクト開口部20を含む絶縁中間層18が形成される。絶縁中間層18は、任意の通常の誘電体材料を含む。誘電体材料は、多孔性又は無孔性とすることができる。絶縁中間層18として用いることができる誘電体材料の幾つかの例は、SiO、ドープされた又は非ドープのシリケート・ガラス、Si、C及びHの原子を含むCドープされた酸化物(即ち、有機シリケート)、熱硬化性ポリアリレン・エーテル、窒化シリコン、酸窒化シリコン、又はこれらの多層を含む任意の組合せを含むが、これらに限定はされない。幾つかの実施形態においては、絶縁中間層としてTEOS前駆物質から形成されるSiOを用いることが好ましい。絶縁中間層18を形成するのに、任意の通常の堆積工程を用いることができる。
コンタクト開口部20(ビアと呼ぶこともできる)は、リソグラフィ及びエッチングによって形成される。典型的には、コンタクト開口部を形成するのに、反応性イオン・エッチング工程が用いられる。
次に、図2に示されるように、拡散障壁22は、一般的に、しかし必ずではないが、例えば、物理気相堆積(PVD)法又は化学気相堆積法等の通常のコンフォーマル堆積工程によって形成される。拡散障壁22は、周囲の絶縁中間層18と金属ゲルマニウム化物含有コンタクト材料(後に形成される)との間の相互作用を防止する。本発明のこの実施形態においては、拡散障壁22は、シリサイド・コンタクト領域と金属ゲルマニウム化物含有材料の両方に対して低ショットキー障壁を形成し、一方それらの領域間の相互拡散を阻止するように選択されることが再度強調される。従って、拡散障壁22は、それらに限定はされないが、TaN、TiN、W、Ru又はこれらの組合せで構成することができる。
コンタクト開口部20内の壁部を含む絶縁中間層18の露出表面上に形成される拡散障壁22の厚さは、それを形成するのに用いられる方法、拡散障壁自体の材料、及び、拡散障壁内の材料層の数によって異なる可能性がある。典型的には、拡散障壁22は、約6nmから約12nmまでの厚さを有する。
図2はまた、コンタクト開口部20の各々の中に金属ゲルマニウム化物含有コンタクト材料24が形成された後の構造体を示す。上述のように、コンタクト材料の金属成分は、隣接する材料に対する接触抵抗を最小にするように調整することができる。コンタクト材料の金属成分は、シリサイド・コンタクト領域内に存在するものと同じ又は異なる金属を含むことができる。典型的には、本発明のコンタクト材料の金属成分は、W、Cu、及びNiのうちの1つである。コンタクト材料のゲルマニウム化物含有成分は、純Ge又はSiGeを含むことができる。
金属ゲルマニウム化物含有コンタクト材料24は、任意の順序で、金属層とGe含有層(即ち、純Ge又はSiGe合金)を堆積させることによって形成される。堆積は、一連の金属とGe含有材料の交互配置層によるか又は同時スパッタリングによるかのいずれかで達成することができる。金属のGe含有材料に対する体積分率の比は、所望のゲルマニウム化物相の化学量論に適合するように選択される。例えば、CuGeは、Geの3倍の体積のCuを含む。
金属ゲルマニウム化物含有コンタクト材料を形成するのに用いられる材料の堆積後に、その構造体をアニールして、金属ゲルマニウム化物含有コンタクト材料24による単一相ビアを形成し、その後、構造体を平坦化して、ゲルマニウム化物の過剰堆積、すなわちコンタクト開口部20の外部に成長した材料を除去する。
本発明の金属ゲルマニウム化物含有コンタクト材料24を形成するのに用いられるアニール処理ステップは、シリサイド・コンタクト領域を形成する際に用いられる温度より低い温度で実施される。具体的には、本発明のコンタクト材料24を形成するのに用いられるアニール処理ステップは、約400℃又はそれ以下の温度で実施され、約200℃から約300℃までの温度がより典型的である。アニール処理ステップは、例えば、He、Ar、N又はフォーミング・ガスなどの気体雰囲気中で実施される。
例えば、化学機械研磨(CMP)等の通常の平坦化工程を用いて、図2に示されるように、結果として生じる構造体が、絶縁中間層18の上表面上の拡散障壁22と同一平面の金属ゲルマニウム化物含有コンタクト材料24をもつように、コンタクト開口部20の外部に延びる過剰堆積材料が除去される。
代替の実施形態においては、絶縁中間層18の上に配置される拡散障壁22の上部もCMPによって除去することができ、新しい拡散障壁(図示せず)は、金属ゲルマニウム化物含有コンタクト材料24を含む構造体全体の上に堆積させることができる。このように、Cu、Al又はAg等の高導電率の金属からなる、上にあるメタライゼーションとの如何なる反応をも防止するために、金属ゲルマニウム化物含有コンタクト材料24は、拡散障壁材料によって完全に密封される。
別の代替の実施形態においては、構造体は、CMP平坦化の前か又はその後に、コンタクト材料24の上部界面付近の領域が、上にあるメタライゼーションに対するショットキー障壁を低下させるのに十分な濃度の第2の材料を含有するように、ある原子種でドープすることができる。ドーパントの選択は、コンタクト材料24とその上のメタライゼーション層の両方の組成に依存することになるが、Al、Mo、W、Ni、Cr、Cu、Hf、Ta又はTiで構成することができる。
同じ手順は、金属ゲルマニウム化物含有コンタクト材料24とその下の拡散障壁22との間のショットキー障壁を修正するために、金属及びGe含有材料の堆積前、堆積中、又は堆積後に、コンタクト開口部20の底部において用いることができる。
代替の実施形態においては、金属ゲルマニウム化物含有コンタクト材料24は、図3に示されるように、ソース、ドレイン及びゲートの表面上に直接形成することができる。金属及びGe含有材料は、PVD又はCVDベースの方法によって、拡散障壁で内張りされていないコンタクト開口部20の内に堆積させ、続いて、所望のゲルマニウム化物材料を形成するようにアニールする。図2に示される本発明のこの実施形態は、下部24Aと上部24Bを有する、コンタクト開口部20内の連続的な金属ゲルマニウム化物含有材料を生成する。下部は、ソース/ドレイン領域、及び随意的にゲート領域へのコンタクトとして機能し、一方、上部は、上にあるメタライゼーションへのコンタクトとして機能する。このように、コンタクト開口部の底部付近の幾つかの界面が除去されて、この領域からの全接触抵抗を減少させる。コンタクト材料24のビアの金属成分の選択は、Ni、Cu、又はWで構成することができるが、これらに限定はされない。コンタクト材料24とその上のメタライゼーションの拡散を防止するために、拡散障壁をコンタクト開口部の上方に堆積させることができる。
別の代替の実施形態においては、金属ゲルマニウム化物含有コンタクト材料24とその下のソース/ドレイン領域との間のショットキー障壁を修正するために、構造体は、金属及びGe含有材料の堆積前、堆積中、又は堆積後に、コンタクト開口部20の底部においてある原子種でドープすることができる。ドーパントの選択は、コンタクト材料24Bとソース/ドレイン領域のドーピングの両方の組成に依存することになるが、n型にドープされたソース/ドレイン領域に対してはEr又はYbで、及び、p型にドープされたソース/ドレイン領域に対してはPt又はIrで構成することができる。
本発明は、その好ましい実施形態について詳しく示され、説明されたが、当業者は、本発明の精神と範囲から逸脱することなく、形態及び細部における前述の及び他の変更を加えることができることを理解するであろう。従って、本発明は、説明され、示された通りの形態及び細部に限定されるのではなく、添付の特許請求の範囲の中に含まれることが意図されている。
本出願の1つの実施形態に用いられる基本的な加工処理ステップを示す図形表示(断面図による)である。 本出願の1つの実施形態に用いられる基本的な加工処理ステップを示す図形表示(断面図による)である。 本出願の代替の実施形態を用いて形成される構造体を示す図形表示(断面図による)である。
符号の説明
10:初めの構造体
12:半導体基板
14:電界効果トランジスタ
16:シリサイド・コンタクト領域
18:絶縁中間層(中間層誘電体)
20:コンタクト開口部
22:拡散障壁
24:金属ゲルマニウム化物含有コンタクト材料

Claims (17)

  1. その上に配置される少なくとも1つの電界効果トランジスタを含み、前記少なくとも1つの電界効果トランジスタに隣接して配置されるシリサイド・コンタクト領域を含む、半導体基板と、
    前記半導体基板上に配置され、前記少なくとも1つの電界効果トランジスタの上に延び、前記シリサイド・コンタクト領域を露出させるコンタクト開口部を有する絶縁中間層と、
    前記コンタクト開口部内の金属ゲルマニウム化物含有コンタクト材料と
    を備える半導体構造体。
  2. 前記コンタクト開口部の少なくとも壁部上に配置され、前記金属ゲルマニウム化物含有コンタクト材料を前記シリサイド・コンタクト領域から分離する拡散障壁をさらに備える、請求項1に記載の半導体構造体。
  3. 前記金属ゲルマニウム化物含有コンタクト材料は、前記シリサイド・コンタクト領域と直接接触する、請求項1に記載の半導体構造体。
  4. 各々のコンタクト開口部の底部付近の領域は、コンタクト開口部/拡散障壁界面におけるショットキー障壁の高さを最小にするような原子種を含む、請求項2に記載の半導体構造体。
  5. 各々のコンタクト開口部の上部付近の領域は、コンタクト開口部/拡散障壁界面におけるショットキー障壁の高さを最小にするような原子種を含む、請求項2に記載の半導体構造体。
  6. 前記金属ゲルマニウム化物含有コンタクトは、金属ゲルマニウム化物合金又は金属シリコン・ゲルマニウム化物合金を含む、請求項1に記載の半導体構造体。
  7. 前記金属は、W、Cu、及びNiのうちの1つである、請求項6に記載の半導体構造体。
  8. その上に配置される少なくとも1つの電界効果トランジスタを含む半導体基板と、
    前記半導体基板上に配置され、前記少なくとも1つの電界効果トランジスタの上に延び、コンタクト開口部を有する絶縁中間層と、
    デバイス・コンタクトとして機能する下部と、上にあるメタライゼーションへのコンタクトとして機能する上部とを有する、前記コンタクト開口部内の連続的な金属ゲルマニウム化物含有材料と
    を備える半導体構造体。
  9. 半導体構造体を製造する方法であって、
    その上に配置される少なくとも1つの電界効果トランジスタを含む半導体基板を準備するステップと、
    前記半導体基板上に配置され、前記少なくとも1つの電界効果トランジスタの上に延び、コンタクト開口部を有する絶縁中間層を形成するステップと、
    前記コンタクト開口部内に金属ゲルマニウム化物含有コンタクト材料を形成するステップと
    を含む方法。
  10. 前記金属ゲルマニウム化物含有コンタクト材料を形成する前記ステップの前に、前記コンタクト開口部の少なくとも壁部上に配置され、前記金属ゲルマニウム化物含有コンタクト材料を下にあるシリサイド・コンタクト領域から分離する拡散障壁を形成するステップをさらに含む、請求項9に記載の方法。
  11. 前記金属ゲルマニウム化物含有コンタクト材料は、下にあるシリサイド・コンタクト領域と直接接触する、請求項9に記載の方法。
  12. 前記金属ゲルマニウム化物含有コンタクト材料は、前記少なくとも1つの電界効果トランジスタのソース/ドレイン領域及び随意的にゲート領域へのコンタクトとして機能する下部と、上にあるメタライゼーションへのコンタクトとして機能する上部とを有する、請求項9に記載の方法。
  13. コンタクト開口部/拡散障壁界面におけるショットキー障壁の高さを最小にするような原子種を含む各々のコンタクト開口部の底部付近の領域を形成するステップをさらに含む、請求項9に記載の方法。
  14. コンタクト開口部/拡散障壁界面におけるショットキー障壁の高さを最小にするような原子種を含む各々のコンタクト開口部の上部付近の領域を形成するステップをさらに含む、請求項9に記載の方法。
  15. 前記金属ゲルマニウム化物含有材料を形成する前記ステップは、任意の順序で、金属層とGe含有材料を堆積させるステップと、随意的に障壁層を形成するステップと、前記金属層と前記Ge含有材料の間の反応を引き起こすようにアニールするステップと、未反応材料を除去するステップとを含む、請求項9に記載の方法。
  16. 前記アニールするステップは、400℃以下の温度で実施される、請求項15に記載の方法。
  17. その上に配置される少なくとも1つの電界効果トランジスタを含み、前記少なくとも1つの電界効果トランジスタに隣接して配置されるシリサイド・コンタクト領域を含む半導体基板を準備するステップと、
    前記半導体基板上に配置され、前記少なくとも1つの電界効果トランジスタの上に延び、前記シリサイド・コンタクト領域を露出させるコンタクト開口部を有する、絶縁中間層を形成するステップと、
    前記コンタクト開口部内に金属シリサイド又は金属ゲルマニウム化物含有コンタクト材料を形成するステップと
    を含み、
    前記コンタクト材料を形成する前記ステップは、400℃以下の温度まで加熱するステップを含む、
    半導体構造体を製造する方法。
JP2007130825A 2006-05-24 2007-05-16 シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体 Expired - Fee Related JP5362965B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/440,202 US7491643B2 (en) 2006-05-24 2006-05-24 Method and structure for reducing contact resistance between silicide contact and overlying metallization
US11/440202 2006-05-24

Publications (2)

Publication Number Publication Date
JP2007318132A true JP2007318132A (ja) 2007-12-06
JP5362965B2 JP5362965B2 (ja) 2013-12-11

Family

ID=38750054

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007130825A Expired - Fee Related JP5362965B2 (ja) 2006-05-24 2007-05-16 シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体

Country Status (4)

Country Link
US (2) US7491643B2 (ja)
JP (1) JP5362965B2 (ja)
CN (1) CN100541799C (ja)
TW (1) TW200802711A (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011016219A (ja) * 2009-06-18 2011-01-27 Imec 低コンタクト抵抗を有するmemsデバイスの製造方法およびそれにより得られたデバイス
WO2011122189A1 (ja) 2010-03-30 2011-10-06 ダイキン工業株式会社 通電装置および通電方法
JP2014501452A (ja) * 2010-12-21 2014-01-20 インテル・コーポレーション トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
JP2014049768A (ja) * 2012-09-03 2014-03-17 Imec 半導体デバイスおよびその製造方法
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
WO2019093206A1 (ja) * 2017-11-09 2019-05-16 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
JP2020102594A (ja) * 2018-12-25 2020-07-02 トヨタ自動車株式会社 半導体装置の製造方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
US20090004851A1 (en) * 2007-06-29 2009-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Salicidation process using electroless plating to deposit metal and introduce dopant impurities
US20090221144A1 (en) * 2008-03-03 2009-09-03 National Applied Research Laboratories Manufacturing method for nano scale Ge metal structure
JP2009272565A (ja) * 2008-05-09 2009-11-19 Toshiba Corp 半導体記憶装置、及びその製造方法
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US9534794B2 (en) 2009-03-16 2017-01-03 Whirlpool Corporation Convection cooking appliance with circular air flow system
CN102074479B (zh) * 2009-11-24 2012-08-29 中国科学院微电子研究所 半导体器件及其制造方法
US9105571B2 (en) * 2012-02-08 2015-08-11 International Business Machines Corporation Interface engineering to optimize metal-III-V contacts
WO2013171235A1 (en) * 2012-05-14 2013-11-21 Imec Method for manufacturing germanide interconnect structures and corresponding interconnect structures
CN103000579B (zh) * 2012-12-14 2016-12-21 复旦大学 一种半导体器件及其制备方法
CN102969276B (zh) * 2012-12-14 2015-10-21 复旦大学 半导体器件及其制备方法
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US11114444B2 (en) * 2019-05-24 2021-09-07 Nanya Technology Corporation Semiconductor device with conductive cap layer over conductive plug and method for forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120820A (ja) * 1989-09-29 1991-05-23 Internatl Business Mach Corp <Ibm> 集積回路の相互接続用メタライゼーションを形成する方法及び装置
JPH04233762A (ja) * 1990-08-01 1992-08-21 Internatl Business Mach Corp <Ibm> 室温で生成しうる銅−半導体複合体及びその形成方法
JPH0864599A (ja) * 1994-08-05 1996-03-08 Internatl Business Mach Corp <Ibm> 表面拡散による高アスペクト比低抵抗率線/バイア構造およびその製造方法
JP2000150651A (ja) * 1998-11-04 2000-05-30 Nec Corp 半導体装置及びプラグ構造の製造方法
JP2001284284A (ja) * 2001-02-16 2001-10-12 Sony Corp 半導体装置における配線構造及びmos型トランジスタ
JP2005079277A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 電界効果トランジスタ

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5801444A (en) * 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
JP3469595B2 (ja) 1992-08-06 2003-11-25 ソニー株式会社 半導体装置におけるシリサイドプラグの形成方法
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
DE19958200B4 (de) 1999-12-02 2006-07-06 Infineon Technologies Ag Mikroelektronische Struktur und Verfahren zu deren Herstellung
US6544888B2 (en) 2001-06-28 2003-04-08 Promos Technologies, Inc. Advanced contact integration scheme for deep-sub-150 nm devices
US6534390B1 (en) 2002-01-16 2003-03-18 Chartered Semiconductor Manufacturing Ltd. Salicide method for producing a semiconductor device using silicon/amorphous silicon/metal structure
US6599831B1 (en) 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US6884736B2 (en) * 2002-10-07 2005-04-26 Taiwan Semiconductor Manufacturing Co, Ltd. Method of forming contact plug on silicide structure
KR100476939B1 (ko) 2003-06-05 2005-03-16 삼성전자주식회사 반도체 저항 소자의 콘택 형성방법
US6977218B2 (en) 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120820A (ja) * 1989-09-29 1991-05-23 Internatl Business Mach Corp <Ibm> 集積回路の相互接続用メタライゼーションを形成する方法及び装置
JPH04233762A (ja) * 1990-08-01 1992-08-21 Internatl Business Mach Corp <Ibm> 室温で生成しうる銅−半導体複合体及びその形成方法
JPH0864599A (ja) * 1994-08-05 1996-03-08 Internatl Business Mach Corp <Ibm> 表面拡散による高アスペクト比低抵抗率線/バイア構造およびその製造方法
JP2000150651A (ja) * 1998-11-04 2000-05-30 Nec Corp 半導体装置及びプラグ構造の製造方法
JP2001284284A (ja) * 2001-02-16 2001-10-12 Sony Corp 半導体装置における配線構造及びmos型トランジスタ
JP2005079277A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 電界効果トランジスタ

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2011016219A (ja) * 2009-06-18 2011-01-27 Imec 低コンタクト抵抗を有するmemsデバイスの製造方法およびそれにより得られたデバイス
WO2011122189A1 (ja) 2010-03-30 2011-10-06 ダイキン工業株式会社 通電装置および通電方法
US9722023B2 (en) 2010-12-21 2017-08-01 Intel Corporation Selective germanium P-contact metalization through trench
JP2014507792A (ja) * 2010-12-21 2014-03-27 インテル コーポレイション ゲルマニウム・オーバーレイヤ・プリコンタクト・メタライゼーションを利用したコンタクト抵抗低減
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US11251281B2 (en) 2010-12-21 2022-02-15 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9117791B2 (en) 2010-12-21 2015-08-25 Intel Corporation Selective germanium P-contact metalization through trench
US9349810B2 (en) 2010-12-21 2016-05-24 Intel Corporation Selective germanium P-contact metalization through trench
US9437691B2 (en) 2010-12-21 2016-09-06 Intel Corporation Column IV transistors for PMOS integration
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9627384B2 (en) 2010-12-21 2017-04-18 Intel Corporation Transistors with high concentration of boron doped germanium
JP2014501452A (ja) * 2010-12-21 2014-01-20 インテル・コーポレーション トレンチを介した選択的ゲルマニウムpコンタクトメタライゼーション
US10090383B2 (en) 2010-12-21 2018-10-02 Intel Corporation Column IV transistors for PMOS integration
US11387320B2 (en) 2010-12-21 2022-07-12 Intel Corporation Transistors with high concentration of germanium
US10297670B2 (en) 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US10304927B2 (en) 2010-12-21 2019-05-28 Intel Corporation Selective germanium p-contact metalization through trench
US10553680B2 (en) 2010-12-21 2020-02-04 Intel Corporation Selective germanium P-contact metalization through trench
US10700178B2 (en) 2010-12-21 2020-06-30 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US11508813B2 (en) 2010-12-21 2022-11-22 Daedalus Prime Llc Column IV transistors for PMOS integration
US10811496B2 (en) 2010-12-21 2020-10-20 Intel Corporation Transistor devices having source/drain structure configured with high germanium content portion
US10879353B2 (en) 2010-12-21 2020-12-29 Intel Corporation Selective germanium P-contact metalization through trench
US11476344B2 (en) 2011-09-30 2022-10-18 Daedalus Prime Llc Contact resistance reduction employing germanium overlayer pre-contact metalization
JP2014049768A (ja) * 2012-09-03 2014-03-17 Imec 半導体デバイスおよびその製造方法
WO2019093206A1 (ja) * 2017-11-09 2019-05-16 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
JPWO2019093206A1 (ja) * 2017-11-09 2020-12-17 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法
JP2020102594A (ja) * 2018-12-25 2020-07-02 トヨタ自動車株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US7923838B2 (en) 2011-04-12
JP5362965B2 (ja) 2013-12-11
US20080211100A1 (en) 2008-09-04
US20070275548A1 (en) 2007-11-29
CN101079419A (zh) 2007-11-28
TW200802711A (en) 2008-01-01
CN100541799C (zh) 2009-09-16
US7491643B2 (en) 2009-02-17

Similar Documents

Publication Publication Date Title
JP5362965B2 (ja) シリサイド・コンタクトとその上のメタライゼーションとの間の接触抵抗を低減する方法及び構造体
CN107026195B (zh) 半导体装置与其形成方法
US9437706B2 (en) Method of fabricating metal-insulator-semiconductor tunneling contacts using conformal deposition and thermal growth processes
US7719035B2 (en) Low contact resistance CMOS circuits and methods for their fabrication
US10573724B2 (en) Contact over active gate employing a stacked spacer
US8658488B2 (en) Method for forming semiconductor chip with graphene based devices in an interconnect structure of the chip
US9917014B2 (en) Vertical air gap subtractive etch back end metal
WO2015145274A1 (en) Oxide mediated epitaxial nickel disilicide alloy contact formation
US11984350B2 (en) Integrated circuit structure with backside interconnection structure having air gap
US10304735B2 (en) Mechanically stable cobalt contacts
US10727310B2 (en) Contact formation on germanium-containing substrates using hydrogenated silicon
US9356119B2 (en) MOSFETs with reduced contact resistance
CN113488539A (zh) 半导体器件及其制造方法
US20220359388A1 (en) Semiconductor Device Structure Having a Multi-Layer Conductive Feature and Method Making the Same
US20240105779A1 (en) Integrated circuit structure and method for forming the same
US20230378264A1 (en) Semiconductor device
WO2024052749A1 (en) Local enlarged via-to-backside power rail

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130215

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130215

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20130215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130724

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130724

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130822

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130822

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130822

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130905

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees