JP2007142094A - フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置 - Google Patents

フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置 Download PDF

Info

Publication number
JP2007142094A
JP2007142094A JP2005332851A JP2005332851A JP2007142094A JP 2007142094 A JP2007142094 A JP 2007142094A JP 2005332851 A JP2005332851 A JP 2005332851A JP 2005332851 A JP2005332851 A JP 2005332851A JP 2007142094 A JP2007142094 A JP 2007142094A
Authority
JP
Japan
Prior art keywords
flip
flop
signal
output
hold time
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005332851A
Other languages
English (en)
Other versions
JP4264436B2 (ja
Inventor
Kenichi Watabe
憲一 渡部
Akira Shoji
章 庄司
Yoshifumi Kumazaki
宜史 熊崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Priority to JP2005332851A priority Critical patent/JP4264436B2/ja
Priority to US11/559,731 priority patent/US7559041B2/en
Publication of JP2007142094A publication Critical patent/JP2007142094A/ja
Application granted granted Critical
Publication of JP4264436B2 publication Critical patent/JP4264436B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/013Modifications of generator to prevent operation by noise or interference
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0375Bistable circuits provided with means for increasing reliability; for protection; for ensuring a predetermined initial state when the supply voltage has been applied; for storing the actual state when the supply voltage fails

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】回路規模の増大を抑制しつつタイミング違反を防止することが可能なフリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置を提供する。
【解決手段】設計装置のセルライブラリに、3個のラッチ回路(L1、L2、L3)を備えるフリップフロップFF1をスタンダードセルとして記憶する。このラッチ回路L2の出力は、フリップフロップFF1の出力信号Qとなる。ラッチ回路L2にデータ信号は、クロック信号CKによりラッチされた信号をラッチ回路L3に供給する。このラッチ回路L3の出力は、フリップフロップFF1の出力信号Q2となる。ホールドタイム違反が生じる可能性があるエラーパスを発見した場合、設計処理部は、このエラーパスにおいて前段のフリップフロップFFの出力としてQ出力からQ2出力に変更する。
【選択図】図2

Description

本発明は、フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置に関するものである。
半導体集積回路の設計手法では、ゲートアレイ設計手法やスタンダードセル設計手法等が用いられている。ゲートアレイ設計手法は、セルを予め一定の場所に並べておき、配線のみを行なう。設計の自由度は制限されるが、配線工程のみで設計が完了する。
一方、スタンダードセル設計手法では、セルとよばれる特定の機能を持った回路を用意しておき、それらを並べることで回路を構成していく。ゲートアレイに比べ、より高集積化、高機能化が可能であり、システム・オン・チップを実現するLSIとして注目されている。このような設計手法では、まず、機能ブロック図の作成後、ハードウェア記述言語を用いて詳細機能記述を作成する。そして、詳細機能記述を機能シミュレータにかけて機能検証を行なう。論理設計において、機能ブロック図および詳細機能記述を具体的なハードウェアに変換してロジック図(ネットリスト)を作成する。
次に、レイアウト設計においては、ネットリストに表現された論理をパターン設計が可能なレベルまで具体化する回路図を作成する。この回路図に基づいてパターン設計を行ない、回路図を構成する各素子や素子間を接続する配線を半導体基板上に具体化するためのマスクを作成する。
以上の設計フローで半導体基板上に作成された実際の論理LSIにおいて、フリップフロップ等、クロックに応じて動作する回路は、セットアップタイム及びホールドタイムが満足していないと正しく動作することができない。セットアップタイムとは、規定のデータ信号が他の入力信号(クロック信号)が変化する以前に加えられ、かつ維持されなければならない時間である。一方、ホールドタイムは、規定のデータ信号が他の入力信号(クロック信号)が変化した後、保持されなければならない時間である。
例えば、論理LSIにおいて、配線抵抗、配線容量、負荷容量などによって配線遅延が生じ、また、信号が論理ゲートを通過する際にも遅延が生じる。これら配線遅延や論理ゲートによる遅延によってデータ遅延やクロック遅延が発生すると、各フリップフロップの正常な同期動作が阻害されて、セットアップタイム違反やデータホールド違反等のタイミング違反が起こるおそれがある。
そこで、従来の回路設計方法では、論理設計において、レイアウト後に実際に生じる可能性がある配線遅延を予測し、その配線遅延に基づいてタイミング解析を行なっている。これにより、タイミング違反を起こすと予測される箇所を探し出し、タイミングを満足させるように回路変更を行なうことが一般的である。
この例を、図6を用いて説明する。論理回路において用いられるフリップフロップFFは、図6に示すように、2つのラッチ回路(L1、L2)から構成される。そして、データ信号Dに対して、クロック信号CKを用いて、出力信号Qを出力する。
2以上のフリップフロップFFを備えた回路においては、共通したクロックソースからCTS(clock tree synthesis)を用いてクロック発生回路から同時期に各フリップフロ
ップFFにクロック信号を供給する。
しかし、クロック信号線にクロストークノイズ等が混入した場合、後段のフリップフロップFFへのクロック信号の供給が遅れる場合がある。この場合、前段のフリップフロップFFの出力信号Qが、クロック信号より先に後段のフリップフロップFFに供給されるために、ホールドタイム違反を発生する場合がある。
このようなタイミング違反を回避するための技術が開示されている(例えば、特許文献1参照。)。この文献記載の技術では、ゲートアレイやスタンダードセルなどの集積回路のレイアウト設計時に、クロックスキューやホールドタイムに関わる不具合が発生しないように配置段階で考慮する。具体的には、フリップフロップ間のデータ信号の経路内に挿入されるべきゲート回路の段数を計測し、段数が相対的に大きい経路に係るフリップフロップを相互に近傍に配置し、段数が相対的に小さい経路に係るフリップフロップを遠距離に配置する。
また、このようなホールドタイム違反を解消するために、図7に示すように、前段のフリップフロップFFの出力端子と、後段のフリップフロップFFの入力端子とを、遅延に応じて複数段のバッファBを介して接続する。これにより、後段のフリップフロップFFに供給されるクロック信号CKと、データ信号Dとのタイミングを調整することができる。
更に、ホールドタイム違反の防止と高集積化とを両立することが可能な半導体集積回路設計方法が検討されている(例えば、特許文献2参照。)。この文献記載の技術では、論理合成時に、ホールドタイム違反に関する制約は与えずに、セットアップタイム違反に関する制約のみを与える。そして、ネットリストのタイミング解析を行ない、フリップフロップFF間のパスにおいてホールドタイム違反を検出した場合、フリップフロップFF又はフリップフロップFFを修正用フリップフロップFFユニットに置換する。修正用フリップフロップFFユニットは、データ入力端子前とデータ出力端子後とにそれぞれ遅延回路を備えており、予めユニット化されると共にセル面積が最小化されてライブラリに登録される。
特開平8−77227号公報(図1) 特開2001−44287号公報(図1)
しかし、遅延時間は回路配置状況等によって異なり、遅延回路(バッファ)だけでは、ホールドタイム違反を回避できない場合がある。また、状況に応じて遅延回路を挿入していたのでは、回路設計が複雑になる。このようなバッファやインバータの挿入は、セルの面積の増大や配線の過密化を招く。この場合、物理的なデザインができなくなる可能性もある。
特に、130nmやそれ以下のディープサブミクロンプロセスでは、セットアップタイム違反は解消される可能性があるが、細線化によりホールドタイム違反の問題が深刻化する可能性がある。このディープサブミクロンプロセスでは、クロストークノイズや電源電圧低下によるクロック信号の伝播遅延が顕著になる。このクロックの揺らぎは、スキューを悪化させ、深刻なホールドタイム違反を起こす。また、ホールドタイムは周波数に依存しないため、ホールドタイム違反の問題は低周波数化により解決できず、動作不能になる可能性もある。
本発明は、上記課題を解決するためになされたものであり、その目的は、ホールドタイ
ム違反の防止と高集積化とを両立することが可能なフリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置を提供することにある。
上記問題点を解決するために、本発明のフリップフロップ機能素子は、クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたことを要旨とする。フリップフロップ機能素子は、通常のフリップフロップの出力信号に対して半周期分だけ遅れた出力信号を出力するため、十分な遅延を確保することができる。従って、ホールドタイム違反を生じたエラーパスにおいて、出力端子を変更するだけで、容易に修正することができる。
本発明は、クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子を含み、前記フリップフロップ機能素子の出力信号と前記クロック信号とが入力される後段素子と接続された半導体集積回路であって、前記後段素子においてホールドタイム違反を起こす可能性があるデータパスに対しては、前記第2出力端子を前記後段素子に接続したことを要旨とする。フリップフロップ機能素子は、通常のフリップフロップの出力信号に対して半周期分だけ遅れた出力信号を出力するため、十分な遅延を確保することができる。
本発明は、クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子のパターンデータを用いて、半導体集積回路を設計する方法であって、前記第1出力端子を用いてデータパスを形成して回路を設計する段階と、前記データパスの後段素子におけるホールドタイムの検査を行なう段階と、前記検査においてホールドタイム違反を起こす可能性がある場合には、前記後段素子を前記第1出力端子に代えて前記第2出力端子に接続する段階を実行することを要旨とする。フリップフロップ機能素子は、通常のフリップフロップの出力信号に対して半周期分だけ遅れた出力信号を出力するため、十分な遅延を確保することができる。従って、ホールドタイム違反を生じたエラーパスにおいて、出力端子を変更するだけで、容易に修正することができる。
本発明は、上記半導体集積回路の設計方法において、前記検査においてホールドタイム違反を起こす可能性がない場合には、クロック信号及びデータ信号が入力されるフリップフロップ構成手段のみを備えたフリップフロップ機能素子に置換する段階を実行することを要旨とする。半周期分だけ遅れた出力信号を利用しない場合には、小面積な回路を実現することができる。
本発明は、クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子のパターンデータを用いて、半導体集積回路の設計装置であって、前記第1出力端子を用いてデータパスを形成して回路を設計する設計処理手段と、前記データパスの後段素子におけるホールドタイムの検査を行なうホールドタイム検査手段と、前記検査においてホールドタイム違反を起こす可能性がある場合には、前記後段素子を前記第1出力端子に代えて前
記第2出力端子に接続するデータパス修正手段とを備えたことを要旨とする。フリップフロップ機能素子は、通常のフリップフロップの出力信号に対して半周期分だけ遅れた出力信号を出力するため、十分な遅延を確保することができる。従って、ホールドタイム違反を生じたエラーパスにおいて、出力端子を変更するだけで、容易に修正することができる。
本発明によれば、ホールドタイム違反の修正精度が高くかつ回路規模の増大が抑制された半導体集積回路を提供することができる。また、本発明によれば、ホールドタイム違反の修正を精度良く行なうと共に回路規模の増大を抑制することができる半導体集積回路の設計を行なうことができる。
以下、本発明を具体化した実施形態を図面と共に説明する。図1は、設計装置の概略構成を示すブロック図である。この設計装置は、入力部10、表示部15、テープ出力部16が接続された設計処理部20を備える。入力部10は、オペレータからの操作入力を受け入れる。表示部15は、設計処理部20の各動作が画面上に表示される。更に、完成した回路設計図に関するデータはテープ出力部16に出力される。
設計処理手段、データパス修正手段としての設計処理部20は、セットアップタイム検査部22、ホールドタイム検査手段としてのホールドタイム検査部24、ネットリストデータ記憶部32及びセルライブラリ30の各動作を管理する。
セットアップタイム検査部22、ホールドタイム検査部24は、それぞれネットリストに基づいて生成された回路配置を用いてシミュレーションを実行し、セットアップタイムや、ホールドタイムを算出し、タイミング違反がないかどうかを検査する。
セルライブラリ30は、この設計手法において用いるスタンダードセル(半導体集積回路を構成すべき基本的な素子)に関する情報が格納されるデータ記憶手段である。ここでは、スタンダードセルには、論理演算子やフリップフロップがあり、これらの面積や、各セルの遅延情報、セットアップタイム及びホールドタイムに関する制約情報を格納している。
本実施形態のセルライブラリ30には、図2に示すように、フリップフロップ機能素子としてのフリップフロップFF1に関するパターンデータが記録される。このフリップフロップFF1は、3個のラッチ回路(L1、L2、L3)を備える。ラッチ回路(L1、L3)には、反転されたクロック信号CKが入力される。ここで、2個のラッチ回路(L1、L2)がフリップフロップ構成手段として機能し、ラッチ回路(L3)がラッチ構成手段として機能する。
フリップフロップFF1へのデータ信号Dはラッチ回路L1に入力され、クロック信号CKによりラッチされた出力信号Qをラッチ回路L2に供給する。このラッチ回路L2の出力は、フリップフロップFF1の第1出力端子から出力される出力信号Qとなる。ラッチ回路L2のデータ信号Dは、クロック信号CKによりラッチされた出力信号Qをラッチ回路L3に供給する。このラッチ回路L3の出力は、フリップフロップFF1の第2出力端子から出力される出力信号Q2となる。
この場合のタイミングチャートを図3に示す。フリップフロップFF1の出力信号Qは、クロック信号CKの立ち上がり毎に変化し、先のデータ信号を出力する。一方、フリップフロップFF1の出力信号Q2は、クロック信号CKの立ち下がり毎に変化し、先のデ
ータ信号を出力する。これにより、出力信号Q2は出力信号Qに対して半周期分だけ遅れることになる。
ネットリストデータ記憶部32は、ハードウェア記述言語(Hardware description language :HDL)で記述された半導体集積回路の機能及び構造に関するデータを格納しているデータ記憶手段である。ネットリストは、階層構造を保持しており、各機能ブロック内のネットリストと機能ブロック間のネットリストとからなる。
設計処理部20は、セルライブラリ30に登録された素子を用い、ネットリストデータ記憶部32に格納されたデータに基づいてゲートレベルの回路設計データを生成する。そして、この回路設計データをホールドタイム検査部24、セットアップタイム検査部22に供給する。
ホールドタイム検査部24、セットアップタイム検査部22は、回路設計データに表されるすべての論理回路の構造と各論理回路間の全ての接続情報とを解析する。
以上の構成において、本実施形態における半導体集積回路のタイミングの解析及び修正方法を、図4に示すフローチャートに従って説明する。
まず、電源、大規模機能ブロック(メモリ、アナログ回路等)の配置(フロアプラン)の生成を行なう(ステップS1−1)。フロアプランの生成は、入力部10からの指示に基づいて実行される。
次に、生成したフロアプランに基づいて、設計処理部20が設計処理を実行する(ステップS1−2)。ここでは、設計処理部20は、ネットリストデータ記憶部32に格納されたデータに基づいて各セルの配線を行なうことにより回路設計を行なう。
この実施例を、図5を用いて説明する。図5(a)において、前段素子としてのフリップフロップFF11の出力端子が、後段素子としてのフリップフロップFF12の入力端子に接続されている。各フリップフロップ(FF11,12)は、それぞれクロック信号CKの立ち上がり(又は立ち下がり)によって同期する。ここでは、フリップフロップFF間の接続は、前段のフリップフロップFFの出力信号Qを、後段のフリップフロップFFにデータ信号Dとして供給する。
次に、セットアップタイムの検査処理を実行する(ステップS1−3)。ここでは、セットアップタイム検査部22が、セットアップタイム違反に関する制約のみを用いて検査を行なう。すなわち、実際に生じる配線遅延を予測し、その配線遅延に基づくタイミング解析を行なう。これにより、セットアップタイム違反を起こす可能性があるデータパスを特定することができる。
そして、セットアップタイム違反が生じる可能性があるデータパス(エラーパス)を発見した場合(ステップS1−4において「NO」の場合)、セットアップタイム検査部22は設計処理部20に検査結果を供給する。この検査結果にはエラーパスを特定するための情報を含む。
この場合、設計処理部20は、セットアップタイムに関するタイミングを満足させるように修正を行なう(ステップS1−5)。具体的には、設計処理部20は、セットアップタイム違反が生じる可能性があるデータパス上の論理ゲート段数を、論理圧縮等の手法を用いて削減する修正を行なう。このように、セットアップタイム違反の可能性があるエラーパスがなくなるまで、ステップS1−3〜S1−5を繰り返す。
一方、セットアップタイム違反が生じる可能性を解消できた場合(ステップS1−4において「YES」の場合)、ホールドタイムの検査処理を実行する(ステップS1−6)。ここでは、ホールドタイム検査部24が、ホールドタイム違反に関する制約のみを用いて検査を行なう。すなわち、実際に生じる配線遅延を予測し、その配線遅延に基づくタイミング解析を行なう。これにより、ホールドタイム違反を起こす可能性があるデータパスを特定することができる。
そして、ホールドタイム違反が生じる可能性があるデータパス(エラーパス)を発見した場合(ステップS1−7において「NO」の場合)、ホールドタイム検査部24は設計処理部20に検査結果を供給する。この検査結果にはエラーパスを特定するための情報を含む。
この場合、設計処理部20は、ホールドタイムに関するタイミングを満足させるように修正を行なう(ステップS1−8)。ここでは、設計処理部20は、ホールドタイム違反が生じる可能性があるデータパスにおいて、前段のフリップフロップFFの出力としてQ出力からQ2出力に変更する。この修正を、図5を用いて説明する。図5(b)に示すように、パスDPにおいてホールドタイム違反が生じる可能性がある場合、フリップフロップFF11の出力端子をQ出力からQ2出力に変更する。このように、ホールドタイム違反の可能性があるエラーパスがなくなるまで、ステップS1−6〜S1−8を繰り返す。
そして、ホールドタイム違反を解消できた場合(ステップS1−7において「YES」の場合)、設計処理部20がテープアウトを行なう(ステップS1−9)。これにより、LSIの製造に用いるマスクデータを生成することができる。
本実施形態によれば、以下のような効果を得ることができる。
・ 上記実施形態によれば、3個のラッチ回路(L1、L2、L3)を備えるフリップフロップFF1を用いて回路設計を行なう。このフリップフロップFF1は、3個のラッチ回路により、フリップフロップFF1は通常の出力信号Qの他に、出力信号Qに対して半周期分だけ遅れた出力信号Q2を出力する。このため、ホールドタイム違反を生じたエラーパスにおいて、Q出力からQ2出力に変更するだけで、十分な遅延を確保することができる。
・ 上記実施形態によれば、フリップフロップFF1は機能ユニットとしてセルライブラリ30に準備されている。これにより、ホールドタイム違反の可能性があるエラーパスにおいて遅延処理を行なう場合に、Q出力からQ2出力への配線の変更だけ修正を行なうことができる。バッファやインバータを直列させて挿入する場合と異なり、小面積で簡易な設計を実現することができる。
・ 上記実施形態によれば、出力の遅延処理には、フリップフロップFF1内のラッチ回路L3を用いる。バッファによる遅延処理を行なう場合にはバッファ毎にバラツキが多いが、ラッチ回路による遅延はクロック信号によるため、遅延を確実に制御することができる。また、バッファに比べて大きな遅延を確保することができる。
なお、上記各実施形態は以下のように変更してもよい。
○ 上記実施形態では、クロック入力端子に入力されたクロックの立ち上がりのタイミングにのみ動作するポジティブエッジトリガ形の同期式フリップフロップを用いたが、これに限定されるものではなく、クロックの立ち下がりのタイミングにのみ動作するネガティブエッジトリガ形に適用することも可能である。
○ 上記実施形態では、フリップフロップFF11とフリップフロップFF12とは、
直接、接続したが、所定の論理回路を介して接続してもよい。この論理回路は、論理演算子を組み合わせて構成している回路(インバータ、加算器,カウンタ,乗算器,シフタ等)がある。この場合においても適用することが可能である。
本発明の実施形態の回路設計装置の説明図。 本発明の実施形態のフリップフロップの構成の説明図。 本発明の実施形態のフリップフロップのタイミングチャートの説明図。 本発明の実施形態の設計手順の説明図。 本発明の実施形態のフリップフロップの接続の説明図であり、(a)は修正前の接続、(b)は修正後の接続路の説明図。 従来のフリップフロップの構成の説明図。 従来のフリップフロップの接続の説明図。
符号の説明
10…入力部、15…表示部、16…テープ出力部、20…設計処理手段、データパス修正手段としての設計処理部、22…セットアップタイム検査部、24…ホールドタイム検査手段としてのホールドタイム検査部、30…セルライブラリ、FF,FF1,FF01,FF02,FF11,FF12…フリップフロップ、L1,L2,L3…ラッチ回路、CK…クロック信号,D…データ信号,Q,Q2…出力信号、DP…パス。

Claims (5)

  1. クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、
    前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、
    前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子と
    を備えたことを特徴とするフリップフロップ機能素子。
  2. クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子を含み、
    前記フリップフロップ機能素子の出力信号と前記クロック信号とが入力される後段素子と接続された半導体集積回路であって、
    前記後段素子においてホールドタイム違反を起こす可能性があるデータパスに対しては、前記第2出力端子を前記後段素子に接続したことを特徴とする半導体集積回路。
  3. クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子のパターンデータを用いて、半導体集積回路を設計する方法であって、
    前記第1出力端子を用いてデータパスを形成して回路を設計する段階と、
    前記データパスの後段素子におけるホールドタイムの検査を行なう段階と、
    前記検査においてホールドタイム違反を起こす可能性がある場合には、前記後段素子を前記第1出力端子に代えて前記第2出力端子に接続する段階
    を実行することを特徴とする半導体集積回路設計方法。
  4. 前記検査においてホールドタイム違反を起こす可能性がない場合には、クロック信号及びデータ信号が入力されるフリップフロップ構成手段のみを備えたフリップフロップ機能素子に置換する段階を実行することを特徴とする請求項3に記載の半導体集積回路設計方法。
  5. クロック信号及びデータ信号が入力されるフリップフロップ構成手段と、前記フリップフロップ構成手段の出力信号及び前記クロック信号が入力されるラッチ構成手段と、前記フリップフロップ構成手段からの信号を出力する第1出力端子、及び前記ラッチ構成手段からの信号を出力する第2出力端子とを備えたフリップフロップ機能素子のパターンデータを用いて、半導体集積回路の設計装置であって、
    前記第1出力端子を用いてデータパスを形成して回路を設計する設計処理手段と、
    前記データパスの後段素子におけるホールドタイムの検査を行なうホールドタイム検査手段と、
    前記検査においてホールドタイム違反を起こす可能性がある場合には、前記後段素子を前記第1出力端子に代えて前記第2出力端子に接続するデータパス修正手段と
    を備えたことを特徴とする半導体集積回路設計装置。
JP2005332851A 2005-11-17 2005-11-17 フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置 Expired - Fee Related JP4264436B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005332851A JP4264436B2 (ja) 2005-11-17 2005-11-17 フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置
US11/559,731 US7559041B2 (en) 2005-11-17 2006-11-14 Method and apparatus for designing semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005332851A JP4264436B2 (ja) 2005-11-17 2005-11-17 フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置

Publications (2)

Publication Number Publication Date
JP2007142094A true JP2007142094A (ja) 2007-06-07
JP4264436B2 JP4264436B2 (ja) 2009-05-20

Family

ID=38042409

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005332851A Expired - Fee Related JP4264436B2 (ja) 2005-11-17 2005-11-17 フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置

Country Status (2)

Country Link
US (1) US7559041B2 (ja)
JP (1) JP4264436B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110066691A (ko) * 2009-12-11 2011-06-17 삼성전자주식회사 플립-플롭 회로
US8438518B2 (en) 2009-03-03 2013-05-07 Nec Corporation Apparatus for designing semiconductor integrated circuit, method of designing semiconductor integrated circuit, and program for designing semiconductor integrated circuit

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4473163B2 (ja) * 2005-03-18 2010-06-02 富士通マイクロエレクトロニクス株式会社 スキャンチェーンのホールドエラー解消方法
US20080005402A1 (en) * 2006-04-25 2008-01-03 Samsung Electronics Co., Ltd. Gals-based network-on-chip and data transfer method thereof
US7765503B2 (en) * 2008-02-29 2010-07-27 Oracle America, Inc. Half cycle common path pessimism removal method
US8484523B2 (en) * 2010-03-23 2013-07-09 Freescale Semiconductor, Inc. Sequential digital circuitry with test scan

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0877227A (ja) 1994-09-02 1996-03-22 Fujitsu Ltd スタンダードセル方式のレイアウト手法
US6611932B2 (en) * 1997-12-05 2003-08-26 Lightspeed Semiconductor Corporation Method and apparatus for controlling and observing data in a logic block-based ASIC
JP3561661B2 (ja) 1999-07-30 2004-09-02 三洋電機株式会社 半導体集積回路及び半導体集積回路の設計方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8438518B2 (en) 2009-03-03 2013-05-07 Nec Corporation Apparatus for designing semiconductor integrated circuit, method of designing semiconductor integrated circuit, and program for designing semiconductor integrated circuit
KR20110066691A (ko) * 2009-12-11 2011-06-17 삼성전자주식회사 플립-플롭 회로
JP2011124998A (ja) * 2009-12-11 2011-06-23 Samsung Electronics Co Ltd フリップフロップ回路
KR101691568B1 (ko) * 2009-12-11 2016-12-30 삼성전자주식회사 플립-플롭 회로

Also Published As

Publication number Publication date
US7559041B2 (en) 2009-07-07
JP4264436B2 (ja) 2009-05-20
US20070113213A1 (en) 2007-05-17

Similar Documents

Publication Publication Date Title
US9450609B1 (en) Methods and apparatus for embedding an error correction code in memory cells
JP4264436B2 (ja) フリップフロップ機能素子、半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計装置
US20090293033A1 (en) System and method for layout design of integrated circuit
US10235485B1 (en) Partial reconfiguration debugging using hybrid models
JP2006343151A (ja) スキャンテスト回路及びその配置方法
US20070129923A1 (en) Dynamic synchronizer simulation
JP5444985B2 (ja) 情報処理装置
US20160292332A1 (en) System for verifying timing constraints of ic design
JP2002312410A (ja) 論理設計された集積回路に対するレイアウト設計及びタイミング調整の方法及び装置並びにこの方法を実行するためのプログラム及びこのプログラムが記録されたコンピュータ読み取り可能な記録媒体
US20050251776A1 (en) Integrated circuit design system
US7945882B2 (en) Asynchronous circuit logical verification method, logical verification apparatus, and computer readable storage medium
JP2008047121A (ja) 半導体集積回路の間接シミュレーション方法及び装置
US7624361B2 (en) Method and device for designing semiconductor integrated circuit
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
US8555228B2 (en) Tool for glitch removal
US7962877B2 (en) Port assignment in hierarchical designs by abstracting macro logic
US7127693B2 (en) Device for creating timing constraints
JP7069608B2 (ja) 半導体設計支援装置、半導体設計支援方法及びプログラム
JP4886559B2 (ja) 半導体設計支援装置、半導体設計支援方法および半導体設計支援プログラム
JP6051548B2 (ja) 自動配置配線装置および自動配置配線方法
JP2013015494A (ja) 半導体集積回路,および,半導体集積回路の検査方法
US20090292837A1 (en) Propagation path specifying device, propagation path specifying method and computer program product
JP2009004700A (ja) スペアセルの挿入/配置方法
JP2005180952A (ja) テスト回路、半導体集積回路及びその製造方法
JP5531479B2 (ja) 回路設計方法、及び回路設計プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080827

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090216

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4264436

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees