JP2006525523A - 単一ツール欠陥分類ソリューション - Google Patents

単一ツール欠陥分類ソリューション Download PDF

Info

Publication number
JP2006525523A
JP2006525523A JP2006514224A JP2006514224A JP2006525523A JP 2006525523 A JP2006525523 A JP 2006525523A JP 2006514224 A JP2006514224 A JP 2006514224A JP 2006514224 A JP2006514224 A JP 2006514224A JP 2006525523 A JP2006525523 A JP 2006525523A
Authority
JP
Japan
Prior art keywords
defect
inspection
sample
review
station
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006514224A
Other languages
English (en)
Other versions
JP4722038B2 (ja
Inventor
トス・ガボール・ディー.
ベイカー・デイビッド・アール.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2006525523A publication Critical patent/JP2006525523A/ja
Application granted granted Critical
Publication of JP4722038B2 publication Critical patent/JP4722038B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys

Abstract

【課題】ウェーハ単位でインラインで効率的に欠陥を分析するための装置および技術を提供する。
【解決手段】本発明の実施形態は、単一のセットアッププロシージャにおいて検査および欠陥分析プロセスの全体をセットアップする簡単なインタフェース(158、500)を提供する。ある実施形態において、試料(100)上の欠陥を分析する装置が開示される。この装置は、潜在的な欠陥(102)を探して試料を検査する検査ステーション、およびそのような潜在的な欠陥(104)の分類を決定するために、前記潜在的な欠陥の試料を分析するレビューステーションを含む。

Description

本発明は、一般に半導体検査および欠陥分析技術に関し、より具体的には検査および欠陥分析装置をセットアップする技術に関する。
一般に、半導体製造産業は、半導体材料を用いて集積回路を製造する高度に複雑な技術を伴う。回路集積の大きな規模および半導体デバイスの小さくなるサイズのために、半導体製造プロセスは、プロセス欠陥を受けやすい。したがって試験プロシージャは、クオリティコントロールを維持するために非常に重要である。試験プロシージャは製造プロセスの不可欠かつ重要な部分を占めるので、より正確で効率的な試験プロシージャを半導体産業は常に求めている。
典型的な検査プロセスは、ウェーハ上の同様な半導体デバイス領域を比較することによって欠陥を検出する。2つのデバイス領域間で検出された差異は、デバイスが適切に動作しないようにさせる欠陥であるか、またはシステム動作に影響を与えないニュイサンスであるかのいずれかでありえる。半導体ウェーハ検査の必要不可欠なフェーズは、通常「レシピ」と呼ばれる検査装置の設定を最適化することによって、装置が正確にニュイサンスと欠陥とを区別できるようにすることを伴う。
潜在的な欠陥が検査システムによって見つかった後で、ウェーハは欠陥の部類のために典型的にはレビューツールに送られる。しかし欠陥の分類は、これも「レシピ」と呼ばれるレビューツールの設定の最適化を要求し、それによってレビューツールは適切に潜在的な欠陥を分類し、または潜在的な欠陥がニュイサンスまたは疑似欠陥であると決定しえる。
要約すれば、特定のウェーハロット上の欠陥の分析は、検査ツールのためのレシピの設定および最適化、およびレビューツールのための異なるレシピの設定を必要とする。2つの異なるツールのための2つのレシピを設定することは時間がかかり複雑なことである。加えて、従来の検査およびレビューツールは、ウェーハカセット全体のバッチタイプの処理を典型的には必要とする。すなわち潜在的な欠陥の特定のためにカセットがまず検査ツールにロードされる。カセット全体が検査された後で、このカセット全体はそれからレビューツールにロードされ欠陥分析がなされる。このバッチタイプの処理のために、製造プロセスの真のインラインモニタリングを完全に採用することができない。例えば、同じロット内での欠陥レビューから得られた情報に基づく欠陥検査およびサンプリングプロセスの調整は、従来の試験システムでは不可能である。
よって、改良された装置および技術が必要とされる。より具体的には、そのような装置のために効率的にレシピを設定するための技術および装置が必要とされる。
したがって、ウェーハ単位でインラインで効率的に欠陥を分析するための装置および技術が提供される。大きく言えば、本発明の実施形態は、単一のセットアッププロシージャにおいて検査および欠陥分析プロセスの全体をセットアップする簡単なインタフェースを提供する。ある実施形態において、試料上の欠陥を分析する装置が開示される。この装置は、潜在的な欠陥を探して試料を検査する検査ステーション、およびそのような潜在的な欠陥の分類を決定するために、前記潜在的な欠陥の試料を分析するレビューステーションを含む。この装置は、さらにユーザが同じセットアップフェーズのあいだに前記検査ステーションおよび前記レビューステーションをセットアップできるようにし、それにより前記検査ステーションおよび前記レビューステーションがセットアップされた後に、前記検査ステーションおよび前記レビューステーションが自動的に動作することによって、前記ユーザセットアップに基づいて1つ以上の試料について欠陥情報を提供するようにするアプリケーションインタフェースを有するコンピュータシステムをさらに含む。
具体的な実現例において、検査ステーションおよびレビューステーションは、単一のツール内に統合される。他の実施形態において、アプリケーションインタフェースは、検査ステーションおよびレビューステーションのいずれかの上で実現される。他の局面において、欠陥情報は、それをアプリケーションインタフェース内で提示することによって提供される。
他の実現例において、アプリケーションインタフェースは、検査ステーションのための複数の画像化条件および少なくとも1つのスレッショルドパラメータ、およびレビューステーションのための複数の画像化条件の入力のための入力フィールドを有する。さらなる局面において、前記コンピュータシステムは、ユーザによって入力された前記検査ステーションのための複数の画像化条件および少なくとも1つのスレッショルドパラメータ、および前記レビューステーションのための複数の画像化条件に基づいて、前記検査ステーションおよびレビューステーションの動作のためのレシピを生成するようさらに動作可能である。他の局面において、前記コンピュータシステムは、前記生成されたレシピに基づいて前記検査ステーションおよびレビューステーションの実行を自動的に開始するようさらに動作可能である。他の局面において、前記コンピュータシステムは、前記レシピを自動的に最適化するようさらに動作可能である。
他の実施形態において、本発明は、試料上の欠陥を分析する方法に関する。潜在的な欠陥を探す前記試料の検査、および前記潜在的な欠陥の欠陥分析を実行するレシピの選択が受け取られる。前記選択されたレシピに基づいて、後でユーザ入力を受け取ることなく、潜在的な欠陥を探して前記試料が自動的に検査され、前記選択されたレシピに基づいて自動的に前記潜在的な欠陥が分析され、欠陥情報が提供される。
ある局面において、前記欠陥情報は、前記潜在的な欠陥の欠陥分類の形態をとる。具体的な実現例において、前記欠陥情報は、複数のバーを有するパレート図の形態をとり、それぞれのバーは、特定の欠陥タイプを表し、前記特定の欠陥タイプについて見つかった欠陥の個数に対応する高さを有する。
具体的な実施形態において、前記レシピは、前記試料について既存のレシピが利用可能なときは前記既存のレシピを選択することによって、前記試料について既存のレシピが利用可能でないときは新しいレシピを生成することによって、選択される。さらなる局面において、新しいレシピを生成することは、(a)既知の欠陥を有するテスト試料を提供すること、(b)前記テスト試料を潜在的な欠陥を探して検査するための検査画像化条件および1つ以上のスレッショルドパラメータを設定すること、および前記テスト試料の前記潜在的な欠陥を分析するためのレビュー画像化条件を設定すること、(c)前記検査画像化条件の下で、前記スレッショルドパラメータに基づいて潜在的な欠陥を特定するために前記テスト試料に対して検査を実行すること、(d)前記レビュー画像化条件の下で、前記検査のあいだに特定された前記潜在的な欠陥の欠陥分析を実行すること、(e)前記検査のあいだに特定され、前記欠陥分析のあいだに分析された前記潜在的な欠陥が前記テスト試料の前記既知の欠陥に実質的に一致するまで、前記検査画像化条件、レビュー画像化条件、およびスレッショルドパラメータのうちの1つ以上を調整すること、および(f)前記検査のあいだに特定され、前記欠陥分析のあいだに分析された前記潜在的な欠陥が前記テスト試料の前記既知の欠陥に実質的に一致するとき、前記検査画像化条件、レビュー画像化条件、およびスレッショルドパラメータに基づいてレシピを生成およびロードすることを含む。
ある局面において、前記欠陥分析は、前記潜在的な欠陥を欠陥タイプまたはニュイサンスに分類することを含み、前記潜在的な欠陥の前記分類された欠陥タイプが前記既知の欠陥のタイプに実質的に一致するとき、前記潜在的な欠陥は前記既知の欠陥に実質的に一致する。
ある具体的な実現例において、潜在的な欠陥を探して前記試料を自動的に検査することおよび前記潜在的な欠陥を分析することの操作は、(a)前記試料の最初のものを検査のためにロードしアラインさせること、(b)前記最初の試料を潜在的な欠陥を探して検査し、潜在的な欠陥の情報を提供すること、(c)前記最初の試料の前記潜在的な欠陥の一部をサンプリングすること、および(d)前記サンプリングされた潜在的な欠陥をレビューし複数の欠陥タイプに分類し、そのようなレビューおよび分類に基づいて欠陥情報を提供することを含む。
ある局面において、前記最初の試料を検査した直後に、次の試料について操作(a)から(d)が反復される。ある局面において、前記選択されたレシピは前記提供された欠陥情報に基づいて調整される。他の局面において、前記提供された欠陥情報に基づいて、前記最初の、および前記次の試料のさらなる処理が停止される。さらに他の局面において、前記提供された欠陥情報に基づいて、前記次の試料の検査が停止される。他の局面において、前記提供された欠陥情報に基づいて、前記欠陥タイプの1つ以上の根本原因を除去または最小化される。
本発明のこれらおよび他の特徴は、以下の明細書および添付の図面においてより詳細に示され、これらは本発明の原理を例示的に示す。
本発明は、添付の図面と併せて以下の詳細な説明を参照すれば容易に理解されよう。図面において同様の参照番号は同様の構成要素を表す。
本発明の具体的な実施形態が詳細に参照される。これら具体的な実施形態の例は、添付の図面において示される。本発明は、これら具体的な実施形態について記載されるが、記載された実施形態に本発明は限定されるよう意図されないことが理解されよう。逆に、添付の特許請求の範囲によって規定されるように本発明の精神および範囲内に代替物、改変物、および等価物が含まれるように意図される。以下の記載において、多くの具体的な詳細が述べられるが、これは本発明の完全な理解のためである。本発明はこれら具体的な詳細の一部または全てがなくても実施されえる。他の場合においては、よく知られたプロセス操作は、本発明の趣旨を不必要にぼかさないために詳細には記載されていない。
図1は、本発明のある実施形態によって試料上の欠陥を分析する欠陥分析システム100の概略図である。試料は、半導体ウェーハまたはレチクルのような欠陥のための検査を必要とするものなら何でもよい。示されるように、欠陥分析システム100は、潜在的な欠陥を探して試料を検査する検査ステーション102、およびそのような潜在的な欠陥の試料を分析するレビューステーション104を少なくとも提供する。欠陥分析システム100は、例えば、異なるタイプの欠陥をレビューする1つ以上のレビューステーションと共に、異なるタイプの欠陥を検査する1つ以上の検査ステーションを含みえる。
検査ステーション102およびレビューステーションは、コンピュータシステム152で結合され、これを通してユーザは単一のアプリケーションインタフェース158を通して両方のステーションをセットアップしえる。例えば、ユーザは、2つのステーション102および104の動作を特定する単一のレシピ156をセットアップしえる。要約すれば、欠陥分析システム100は、以下に詳述するように、両方のステーション102および104の動作条件を単一のセットアップフェーズにおいてセットアップする単一のアプリケーションインタフェース152を有利に含む。代替として、両方の検査およびレビューステーションを設定するアプリケーションインタフェース158が検査ステーション102またはレビューステーション104のいずれかの上で実現されえる。
検査ステーション102およびレビューステーション104は、別個のツールとして示されているが、単一の統合された検査およびレビューステーションが利用されえる。そのような統合されたシステムのいくつかの実施形態は、2003年8月8日に出願されたGaurav Vermaらによる「METHODOLOGIES FOR EFFICIENT INSPECTION OF TEST STRUCTURES USING ELECTRON BEAM SCANNING AND STEP AND REPEAT SYSTEMS」と題された米国特許出願第10/638,027号(弁護士ドケット番号KLA1P097)においてさらに記載される。
いったん2つのステーション102および104がユーザによってセットアップされると、2つのステーションはそれからユーザにトランスペアレントに実行しえ、1つ以上の試料についての欠陥情報を作りえる。要約すれば、単一のアプリケーションインタフェース152は、ユーザが欠陥分析システム100を単一のセットアップフェーズにおいてセットアップできるようにし、それからそれによりそのようなユーザセットアップに基づいて1つ以上の試料についての欠陥情報を自動的に得るようにする。
欠陥分析システム100は、検査ステーション102およびレビューステーション104の間で試料を搬送するメカニズムも含む。図示された実施形態において、欠陥分析システム100は、試料を自動的に搬送するオートローダ108を含む。オートローダ108は、検査ステーション102の検査ポート103へ向かって、位置110へ伸びえるアームを有するロボット112を含む。このアームは、外部ポート104に向かって位置110’へ回転し伸びえ、ここでそれは参照番号110’で示される状態である。同様に、参照番号110’’によって示される状態において、このロボットアームは、検査ステーション102によって見つかった潜在的な欠陥を分析するレビューステーション104のレビューステーションポート105に向かって伸びえる。ロボットアームは、さらに伸びて試料をレビューステーション104から取り出すように設計される。代替として、1つ以上のアームを有するロボットがステーション群の間をトラック上で動きえる。任意の適切な自動化メカニズムが利用されえ、そのような自動化メカニズムは当業者にはよく知られている。
本発明のある実施形態による欠陥分析プロセスは、複数の試料が外部ポート104上に置かれた後に始まりえ、これは例えば後続の検査アプリケーション購入において1つの試料が用いられるまでそれら試料群を格納するつもりによる。位置110’におけるロボットアームは、外部ポート104から試料を搬送し、それを検査ステーション102の検査ポート103内に置く。試料が検査ステーション102によって検査された後、ロボットアーム110は検査された試料を検査ポート103から取り出し、ロボットアームを位置110’’に伸ばすことによってそれをレビューステーション104のレビューポート105上に置く。試料がレビューされた後で、ロボットアームはそれからレビューされた試料をレビューポート105から取り出し、位置110’’から位置110’へ移動することによってそれを外部ポート104に戻して置く。
検査ステーション102は、試料が検査にパスしたかを決定する評価プロセスを実現するコンピュータシステム(不図示)も含みえる。代替として、このコンピュータシステムは、検査ステーション102からは分離されえ、例えばコンピュータシステム152の形態をとりえる。図示された実施形態において、検査ステーション102は、例えば、形状のリストの形態をとる設計データ154を受け取りえる。加えて、検査ステーション102は、画像データを試料から獲得しえる。それから検査ステーション102は、その画像データを、設計データ254から、または試料の同様の領域から、または異なる試料から(例えばダイ・ツー・ダイモードの検査)生成されえるベースライン画像と比較することによって分析する。
検査が終了したあと、ロボット112は、試料をレビューステーション104へ移動させる。検査ステーション102は、潜在的な欠陥のマップもレビューステーション104へ通信ライン106を通して送りえる。レビューステーション104は、潜在的な欠陥がレビューされ分類される欠陥分析プロセスを実現するコンピュータシステム(不図示)も含みえる。代替として、このコンピュータシステムは、検査ステーション104からは分離されえ、例えばコンピュータシステム152の形態をとりえる。
ここで記載される検査および欠陥分析技術を実現および制御するのに用いられる適切なコンピュータシステムは、さまざまなベンダー(例えばテキサス州、Round RockのDell Computer Corporation)から入手されえ、またはカリフォルニア州、San JoseのKLA-Tencorのような検査システムベンダーによって特注で作られえる。
好ましくは、検査ステーション102は、ここで記載される多くの検査操作を実現するコンピュータシステムと統合される光学、UV(紫外)、電子ビーム、または他の検査システムの形態をとる。このような複合システムは好ましくは、(a)メモリ中に記憶されたベースライン画像(好ましくはコンパクトにされた)、(b)試料の光学または電子ビーム画像を生成するよう構成された画像化システム、および(c)さまざまな統計的情報を計算および記憶するのと共に、ベースラインおよび現在の試験画像を比較することによって、欠陥を特定するよう構成される処理ユニットを少なくとも含む。最低限、この画像化システムは、(i)照射を試料の特定された位置に向けるよう方向付けられた照射源、および(ii)試料によって散乱された試料の画像を照射源から検出するよう方向付けられた1つ以上の検出器をふつうは含む。この画像化システムは走査手段も含みえる。適切な検査ステーションには、カリフォルニア州、San JoseのKLA-Tencor,Corp.から入手可能なAIT-XP、eS20XP、TeraStar、およびSL3UVが含まれる。
レビューステーション104も、ここで記載されるレビュー操作を実現するために、検査ステーション102と同様の複合システムを好ましくは形成する。しかし、レビューステーション104は、欠陥の分析のために、検査ステーション102によって提供される画像よりも高い解像度の試料画像を典型的には提供する。適切なレビューステーションには、カリフォルニア州、San JoseのKLA-Tencor,Corp.から入手可能なeV300およびCRS3100が含まれる。
一般に、検査およびレビューステーションは、欠陥を探して試料を検査し、または欠陥をレビューするのに適切な任意の形態をとりえる。それぞれのステーションは、明視野または暗視野光学システムのような光学システムの形態をとりえる。このステーションはまた、明視野および暗視野モードの両方を利用しえる。明視野システムの例には、カリフォルニア州、San JoseのKLA-Tencor,Corp.からの2350、2351、2360、および2370が含まれる。暗視野システムの例には、カリフォルニア州、San JoseのKLA-Tencor,Corp.から入手可能なAIT II、AIT XP、Fusion、Fusion UV、およびSP1 PatternProが含まれる。それぞれのステーションは、スキャニング、スナップショット、またはステップ・アンド・リピートタイプの電子ビームシステムのような電子ビーム(eビーム)システムの形態もとりえる。ステーションは、試料の大きな領域にわたるマクロ欠陥、ベア基板上の欠陥、またはソルダーバンプ(例えばボールグリッドアレイバンプ)内の欠陥のような特殊な種類の欠陥を検出するよう設計されえる。またそれぞれのステーションは、スタンドアローンであってもよく、または処理ツール内に統合されてもよい。
図2は、本発明のある実施形態によって、潜在的な欠陥を探して試料を自動的に検査し、その潜在的な欠陥を分析するプロシージャ200を示すフロー図である。図1の欠陥分析システム100は、図2の操作を説明するのに利用される。まず、複数の試料またはウェーハが欠陥分析システム100に操作202においてロードされる。操作204において、新しいか、または既存のいずれかのレシピがそれから選択されロードされる。もしロードされた試料についての既存のレシピが利用可能であるなら、操作208において、既存のレシピがユーザによってそれから選択され、ロードされる。しかしロードされた試料についてもし新しいレシピが必要とされるなら、操作206において、ロードされた試料について新しいレシピがユーザによって生成され、ロードされる。
欠陥分析システム100にロードされた試料またはウェーハについてレシピがロードされた後、操作210において、それからウェーハは潜在的な欠陥を探して自動的に検査され、その潜在的な欠陥は分析される。操作212において、この自動欠陥分析プロセスは、欠陥情報を生成する。例えば、さまざまな欠陥タイプのパレート図が生成され、アプリケーションインタフェース158においてユーザに提示される。図6Aは、ウェーハタイプの試料のそれぞれの欠陥タイプについて複数のバーを有するパレート図602を示し、ここでバーの高さは欠陥カウントを表す。図6Bは、それぞれのウェーハについて複数のバーを有する複数のウェーハのパレート図を示し、ここでそれぞれのバーは異なる欠陥タイプ群のサブバーに分割される。図6Bのそれぞれのサブバーは、それぞれの欠陥タイプについて欠陥カウントに対応する高さを有する。この欠陥情報は、検査プロセスまたはレシピの調整のような以下に詳述される任意の個数の目的のために欠陥分析操作210へも好ましくはフィードバックされる。
図3は、本発明のある実施形態による新しいレシピを生成しロードする図2の操作206を示すフロー図である。まず、操作302において、既知の欠陥を有するテストウェーハについてのアライメントサイトおよびダイレイアウトが設定される。例えば、これらのパラメータは、レチクルレイアウトから、またはそのようなパラメータを含むデータベースから自動的に獲得されえる。これらパラメータはオペレータによって手動で設定されてもよい。
操作304において、検査ツールおよびレビューツールについての画像化条件およびスレッショルドパラメータがそれから設定されえる。画像化条件は、ユーザによって選択可能な、検査およびレビューツールの任意の適切な動作条件を含みえる。光学タイプの検査およびレビューツールにおいては、画像化条件は、解像度、ノイズ抑圧パラメータ、フォーカス、光学モード(例えば、暗視野または明視野モード)、ピクセルサイズ、およびスレッショルドを含みえる。電子ビームベースの検査またはレビューツールにおいては、画像化条件は、ランディングエネルギー、ビーム電流密度、スキャンパターン、スポットサイズ、加速電圧、ウェーハバイアス、および視野サイズを含みえる。画像化条件は、ダイサイズ、ダイレイアウト、ダイオリジン、フラットまたはノッチ位置、デバイスレイヤ、およびアライメントサイトイメージのような両方のツールに共通のパラメータも含みえる。
図5は、本発明のある実施形態による検査およびレビューのためのレシピパラメータを入力する例示的インタフェース500の概略図である。示されるように、インタフェース500は、パラメータを選択する多くの入力メカニズムを含む。これら入力メカニズムは、入力ボックス、プルダウンメニュー、または選択ボタンのような任意の適切な形態をとりえる。
パラメータの一部は、検査およびレビューツールの両方について好ましくは共通である。すなわち、共通パラメータは、両方のツールについて一度だけ設定されればよい。示される実施形態において、共通パラメータは、ダイサイズ502、ダイレイアウト504、ダイオリジン506、フラットまたはノッチ位置508、デバイスレイヤ510、およびアライメントサイトイメージ512を含む。それからユーザは、それぞれのダイのサイズに対応するダイサイズ値を入力しえる。ユーザは、2ダイレイアウト504a、4ダイレイアウト504b、または8ダイレイアウト504cのような特定のダイレイアウトも選択しえる。これらダイレイアウトは単に例示的であって、本発明の範囲を限定するよう意図されていない。ダイオリジン値は、アライメントサイトイメージに対するよう選択される。フラットまたはノッチ位置は、ウェーハのノッチの向きを角度によって示しえる。デバイスレイヤは、例えばポリ、メタルなどの名前によって特定されえる。位置値は、アライメントサイトイメージについても入力されえる。
これらパラメータは、レビューシステムと共に、検査システムのための設定も含む。図5の例において、検査システムの設定は、光学モード(例えば明視野または暗視野モード)、ピクセルサイズ、および1つ以上のスレッショルドパラメータを含む。この例におけるレビューシステムの設定は、加速電圧、ウェーハバイアス、および視野サイズを含む。
スレッショルドパラメータは一般に、テストウェーハにおける不一致が潜在的な欠陥を表すかを決定するために検査ツールによって用いられる。このスレッショルドパラメータは、テストウェーハの特定の検査領域についての1つ以上の所定のスレッショルド値を含みえる。例えば、レファレンスウェーハの特定の領域が、テストウェーハの同じ領域から減算されるとき、対応する所定のスレッショルドより大きい差異が潜在的な欠陥として定義される。画像の異なる部分については、異なるスレッショルドが選択されえる。よって、欠陥をキャプチャすることに対する検査ツールの感度は、スレッショルドパラメータに直接関係する。
画像化条件およびスレッショルドパラメータがこのセットアッププロシージャのあいだに設定された後、レシピがそれから自動的に最適化される。操作306において、検査は、潜在的な欠陥を特定(locate、位置特定)するためにテストウェーハ上で実行される。操作308において、検査のあいだに特定された潜在的な欠陥に対して欠陥分析がそれから実行される。操作310において、検査のあいだに見つかった潜在的な欠陥が既知の欠陥と実質的に一致するかがそれから決定される。例えば、欠陥分析のあいだ、検査のあいだに見つかった潜在的な欠陥は、欠陥タイプまたはニュイサンスに分類される(classified)。検査のあいだに見つかった潜在的な欠陥の決定された分類(class)が、既知の欠陥の分類と実質的に同じであるとき、その潜在的な欠陥は既知の欠陥と実質的に一致すると決定される。
もし一致が存在しないなら、操作304において、検査ツールまたはレビューツールのいずれかについての画像化条件およびスレッショルドがそれから調整されえる。ある実現例において、レビュー画像化条件は、試料の特定のプロセスに基づいて、自動的に選択され、固定されえるが、一方、検査画像化条件および/またはスレッショルドパラメータは、一致が起こるまで調整される。代替として、レビュー画像化条件も一致が起こるまで調整されえる。操作304から310は、操作310において潜在的な欠陥が既知の欠陥と実質的に一致するまで反復される。潜在的な欠陥が実質的に既知の欠陥と一致した後、操作312において、現在の画像化条件およびスレッショルドを用いてレシピが生成され、ロードされる。
図4Aおよび4Bは、本発明のある実施形態によってレシピがロードされた後に検査および欠陥分析を自動的に実行する図2の操作210を示すフロー図を示す。まず操作401において、最初の、または次のウェーハがロードされ、検査のためにアラインされる。アライメントは、ロードされたレシピの以前に選択されたアライメントパラメータに基づきえる。
ロードされたウェーハはそれから操作402において検査される。検査結果は、複数の潜在的な欠陥についての情報403になる。ある実施形態において、潜在的な欠陥情報403は、それぞれの潜在的な欠陥についての座標を含むウェーハマップの形態をとる。最後にロードされたウェーハの最後の検査のあいだに見つかった潜在的な欠陥は、潜在的な欠陥の情報(例えば欠陥マップ)を用いてそれから操作404においてサンプリングされる。すなわち、所定の数の潜在的な欠陥がレビューのために選択される。所定のサンプリング数は、レシピセットアッププロシージャのあいだに以前に設定されていてもよく、またはランダムに生成されても、または固定値であってもよい。
最後に検査されたウェーハのサンプリングされた潜在的な欠陥は、それから操作406において分析されえる。この欠陥分析は、欠陥情報212になる。例えば、潜在的な欠陥の複数の欠陥タイプを決定するために、よく知られた自動欠陥分類プロシージャが実現されえる。欠陥タイプは、異なる成分および粒子のサイズ、材料中の異なるサイズおよび位置のボイド、電気的短絡または電気的開放のタイプの欠陥、マクロまたはミクロスクラッチタイプの欠陥、除去されていない材料、余分な材料、ミスアラインされたフィーチャ、パターンマスキング欠陥、または任意の他の欠陥タイプまたは分類のような「真の」欠陥タイプと共に、疑似欠陥タイプまたはニュイサンス分類を含みえる。欠陥を分類するいくつかの実施形態は、Ke Hanによる2000年8月15日に発行された米国特許第6,104,835号にさらに記載される。レビューおよび分類406は、それぞれの欠陥タイプのカウントを示すパレート図のような欠陥情報212になる。
図4Bを参照して、操作408において、現在のレシピが調整を必要とするかがそれから決定されえる。この決定は、結果として生じる欠陥情報212に基づいている。例えば、レシピは、欠陥情報が、欠陥密度が高すぎるか、または低すぎることを示すときに、ニュイサンス欠陥レートが高すぎるときに、クリチカル欠陥タイプが欠如しているなら、またはレシピが不安定で実行できないなら、調整されえる。もし、レシピが調整を必要とするなら、そのレシピは操作410において調整または再較正され、操作401において検査のために次のウェーハがロードされアラインされる。
もしレシピが調整を必要としないなら、操作412において検査または現在のプロセスが停止されるべきかが決定されえる。例えば、欠陥情報は、検査またはレビューツールのプロセスまたは画像化条件が仕様からドリフトし始めていることを示しえる。その場合、ドリフトしている検査、レビュー、またはプロセスツールは、再較正され、欠陥分析プロセスが再開される。
ある実現例において、もし検査またはプロセスが停止されるべきなら、欠陥の根本原因が操作414において欠陥情報に基づいて決定されえる。操作416において根本原因は、除去または最小化されえる。例えば、プロセス、検査、またはレビューパラメータが調整されえ、欠陥検査および分析プロセスが再開される。もし検査またはプロセスが停止されるべきでなく、つまり再開されるなら、操作401において次のウェーハが検査のためにそれからロードされアラインされる。
操作の欠陥分析に並行して、図4Aの破線407によって示される検査のために、次のウェーハがロードされアラインされる。もし並行レビュープロセスのあいだに問題が見つかるなら、検査は、例えばウェーハロット全体の検査の前に効率的に停止されえる。加えて、検査プロシージャは、レビュープロシージャから得られた欠陥情報に基づいて調整されえる。したがって、本発明の欠陥分析システムは、ウェーハ単位での検査および/またはプロセスプロシージャのオンザフライの調整を提供する。
前述の本発明は、理解を明瞭させるためにある程度の詳細について説明されてきたが、何らかの変更および改変が添付の特許請求の範囲内で実施されえることは明らかだろう。本発明のプロセスおよび装置の両方を実現する多くの代替のやり方が存在することに注意されたい。例えば、試料は、半導体ウェーハまたはレチクルのような検査およびレビューに適する任意の物質または物体でありえる。代替の例として、本発明は、ますます小さくなる寸法で設計されつつあるハードディスク内の薄膜ヘッドを検査するのにも特に有用でありえる。他の例として、レチクルも欠陥を探して検査され、レチクル欠陥もレビューされえる。したがって、本発明の実施形態は、例示的であって限定的ではないと考えられるべきであり、本発明は、ここで与えられた詳細に限定されるべきではなく、むしろ添付の特許請求の範囲および等価物の中で改変されえる。
本発明のある実施形態によって試料上の欠陥を分析する欠陥分析システムの概略図である。 本発明のある実施形態によって、潜在的な欠陥を探して試料を自動的に検査し、その潜在的な欠陥を分析するプロシージャを示すフロー図である。 本発明のある実施形態による新しいレシピを生成しロードする図2の操作を示すフロー図である。 本発明のある実施形態によってレシピがロードされた後に検査および欠陥分析を自動的に実行する図2の操作を示すフロー図を示す。 本発明のある実施形態によってレシピがロードされた後に検査および欠陥分析を自動的に実行する図2の操作を示すフロー図を示す。 本発明のある実施形態による検査およびレビューのためのレシピパラメータを入力する例示的インタフェースの概略図である。 ウェーハタイプの試料のそれぞれの欠陥タイプについて、バーの高さが欠陥カウントを表す複数のバーを有するパレート図である。 それぞれのバーは異なる欠陥タイプ群のサブバーに分割される、それぞれのウェーハについて複数のバーを有する複数のウェーハのパレート図である。
符号の説明
100…欠陥分析システム
102、104…検査ステーション
103…検査ポート
105…レビューステーションポート
106…通信ライン
108…オートローダ
110…ロボットアーム
112…ロボット
152…コンピュータシステム
154…設計データ
156…レシピ
158…アプリケーションインタフェース
210…欠陥分析操作
500…例示的インタフェース
504…ダイレイアウト
506…ダイオリジン
508…ノッチ位置
510…デバイスレイヤ
512…アライメントサイトイメージ

Claims (23)

  1. 試料上の欠陥を分析する方法であって、
    潜在的な欠陥を探す前記試料の検査、および前記潜在的な欠陥の欠陥分析を実行するレシピの選択を受け取ること、および
    前記選択されたレシピに基づいて、後でユーザ入力を受け取ることなく、潜在的な欠陥を探して前記試料を自動的に検査し、前記選択されたレシピに基づいて自動的に前記潜在的な欠陥を分析し、欠陥情報を提供すること
    を含む方法。
  2. 請求項1に記載の方法であって、前記欠陥情報は、前記潜在的な欠陥の欠陥分類の形態をとる方法。
  3. 請求項1または2のいずれかに記載の方法であって、前記欠陥情報は、複数のバーを有するパレート図の形態をとり、それぞれのバーは、特定の欠陥タイプを表し、前記特定の欠陥タイプについて見つかった欠陥の個数に対応する高さを有する方法。
  4. 請求項1〜3のいずれかに記載の方法であって、前記レシピは、前記試料について既存のレシピが利用可能なときは前記既存のレシピを選択することによって、前記試料について既存のレシピが利用可能でないときは新しいレシピを生成することによって、選択される方法。
  5. 請求項4に記載の方法であって、新しいレシピを生成することは、
    既知の欠陥を有するテスト試料を提供すること、
    前記テスト試料を潜在的な欠陥を探して検査するための検査画像化条件および1つ以上のスレッショルドパラメータを設定すること、および前記テスト試料の前記潜在的な欠陥を分析するためのレビュー画像化条件を設定すること、
    前記検査画像化条件の下で、前記スレッショルドパラメータに基づいて潜在的な欠陥を特定するために前記テスト試料に対して検査を実行すること、
    前記レビュー画像化条件の下で、前記検査のあいだに特定された前記潜在的な欠陥の欠陥分析を実行すること、
    前記検査のあいだに特定され、前記欠陥分析のあいだに分析された前記潜在的な欠陥が前記テスト試料の前記既知の欠陥に実質的に一致するまで、前記検査画像化条件、レビュー画像化条件、およびスレッショルドパラメータのうちの1つ以上を調整すること、および
    前記検査のあいだに特定され、前記欠陥分析のあいだに分析された前記潜在的な欠陥が前記テスト試料の前記既知の欠陥に実質的に一致するとき、前記検査画像化条件、レビュー画像化条件、およびスレッショルドパラメータに基づいてレシピを生成およびロードすること
    を含む方法。
  6. 請求項5に記載の方法であって、前記検査画像化条件および前記レビュー画像化条件は、解像度、ノイズ抑圧パラメータ、フォーカス、光学モード、ピクセルサイズ、スレッショルド、ランディングエネルギー、ビーム電流密度、スキャンパターン、スポットサイズ、加速電圧、ウェーハバイアス、および視野サイズからなるグループから選択される方法。
  7. 請求項5または6のいずれかに記載の方法であって、前記検査画像化条件および前記レビュー画像化条件を設定することは、ダイサイズ、ダイレイアウト、ダイオリジン、フラットまたはノッチ位置、デバイスレイヤ、およびアライメントサイトイメージからなるグループから選択されるパラメータを一度だけ設定することを含む方法。
  8. 請求項5〜7のいずれかに記載の方法であって、前記欠陥分析は、前記潜在的な欠陥を欠陥タイプまたはニュイサンスに分類することを含み、前記潜在的な欠陥の前記分類された欠陥タイプが前記既知の欠陥のタイプに実質的に一致するとき、前記潜在的な欠陥は前記既知の欠陥に実質的に一致する方法。
  9. 請求項1〜8のいずれかに記載の方法であって、潜在的な欠陥を探して前記試料を自動的に検査することおよび前記潜在的な欠陥を分析することの操作は、
    (a)前記試料の最初のものを検査のためにロードしアラインさせること、
    (b)前記最初の試料を潜在的な欠陥を探して検査し、潜在的な欠陥の情報を提供すること、
    (c)前記最初の試料の前記潜在的な欠陥の一部をサンプリングすること、および
    (d)前記サンプリングされた潜在的な欠陥をレビューし複数の欠陥タイプに分類し、そのようなレビューおよび分類に基づいて欠陥情報を提供すること
    を含む方法。
  10. 請求項9に記載の方法であって、前記最初の試料を検査した直後に、次の試料について操作(a)から(d)を反復することをさらに含む方法。
  11. 請求項9または10のいずれかに記載の方法であって、前記選択されたレシピを前記提供された欠陥情報に基づいて調整することをさらに含む方法。
  12. 請求項9〜11のいずれかに記載の方法であって、前記提供された欠陥情報に基づいて、前記最初の、および前記次の試料のさらなる処理を停止することをさらに含む方法。
  13. 請求項9〜12のいずれかに記載の方法であって、前記提供された欠陥情報に基づいて、前記次の試料の検査を停止することをさらに含む方法。
  14. 請求項9〜13のいずれかに記載の方法であって、前記提供された欠陥情報に基づいて、前記欠陥タイプの1つ以上の根本原因を除去または最小化することをさらに含む方法。
  15. 請求項9〜14のいずれかに記載の方法であって、前記欠陥タイプは、疑似欠陥タイプを含む方法。
  16. 試料上の欠陥を分析する装置であって、
    潜在的な欠陥を探して試料を検査する検査ステーション、
    そのような潜在的な欠陥の分類を決定するために、前記潜在的な欠陥の試料を分析するレビューステーション、
    ユーザが同じセットアップフェーズのあいだに前記検査ステーションおよび前記レビューステーションをセットアップできるようにし、それにより前記検査ステーションおよび前記レビューステーションがセットアップされた後に、前記検査ステーションおよび前記レビューステーションが自動的に動作することによって、前記ユーザセットアップに基づいて1つ以上の試料について欠陥情報を提供するようにするアプリケーションインタフェースを有するコンピュータシステム
    を備える装置。
  17. 請求項16に記載の装置であって、前記検査ステーションおよび前記レビューステーションは、単一のツール内に統合される装置。
  18. 請求項16または17のいずれかに記載の装置であって、前記アプリケーションインタフェースは、前記検査ステーションのための複数の画像化条件および少なくとも1つのスレッショルドパラメータ、および前記レビューステーションのための複数の画像化条件の入力のための入力フィールドを有する装置。
  19. 請求項18に記載の装置であって、前記コンピュータシステムは、ユーザによって入力された前記検査ステーションのための複数の画像化条件および少なくとも1つのスレッショルドパラメータ、および前記レビューステーションのための複数の画像化条件に基づいて、前記検査ステーションおよびレビューステーションの動作のためのレシピを生成するようさらに動作可能である装置。
  20. 請求項19に記載の装置であって、前記コンピュータシステムは、前記生成されたレシピに基づいて前記検査ステーションおよびレビューステーションの実行を自動的に開始するようさらに動作可能である装置。
  21. 請求項19または20のいずれかに記載の装置であって、前記コンピュータシステムは、前記レシピを自動的に最適化するようさらに動作可能である装置。
  22. 請求項16〜21のいずれかに記載の装置であって、前記アプリケーションインタフェースは、前記検査ステーションまたは前記レビューステーションのいずれかの上で実現される装置。
  23. 請求項16〜22のいずれかに記載の装置であって、前記欠陥情報は、それを前記アプリケーションインタフェース内で提示することによって提供される装置。
JP2006514224A 2003-04-29 2004-04-29 単一ツール欠陥分類ソリューション Expired - Fee Related JP4722038B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US46681603P 2003-04-29 2003-04-29
US60/466,816 2003-04-29
US10/705,059 US6952653B2 (en) 2003-04-29 2003-11-10 Single tool defect classification solution
US10/705,059 2003-11-10
PCT/US2004/013642 WO2004097903A2 (en) 2003-04-29 2004-04-29 Single tool defect classification solution

Publications (2)

Publication Number Publication Date
JP2006525523A true JP2006525523A (ja) 2006-11-09
JP4722038B2 JP4722038B2 (ja) 2011-07-13

Family

ID=33423625

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006514224A Expired - Fee Related JP4722038B2 (ja) 2003-04-29 2004-04-29 単一ツール欠陥分類ソリューション

Country Status (3)

Country Link
US (1) US6952653B2 (ja)
JP (1) JP4722038B2 (ja)
WO (1) WO2004097903A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007017290A (ja) * 2005-07-07 2007-01-25 Hitachi High-Technologies Corp 欠陥データ処理方法、およびデータの処理装置
JP2016139811A (ja) * 2005-11-18 2016-08-04 ケーエルエー−テンカー コーポレイション ウェハ上で検出された欠陥をビン範囲に従って分けるように構成されたシステム
JP2019049556A (ja) * 2009-01-13 2019-03-28 セミコンダクター テクノロジーズ アンド インストゥルメンツ ピーティーイー リミテッド ウェーハの検査システム及び方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050075841A1 (en) * 2003-08-05 2005-04-07 Netanel Peles Automated defect classification system and method
WO2005114422A2 (en) * 2004-05-21 2005-12-01 Pressco Technology Inc. Graphical re-inspection user setup interface
JP5134188B2 (ja) * 2004-10-15 2013-01-30 ケーエルエー−テンカー コーポレイション 試料上の欠陥を分析する装置
US9037280B2 (en) * 2005-06-06 2015-05-19 Kla-Tencor Technologies Corp. Computer-implemented methods for performing one or more defect-related functions
US20060277609A1 (en) * 2005-06-07 2006-12-07 Marc Brandon Method and apparatus for tracking pirated media
JP4442550B2 (ja) * 2005-11-15 2010-03-31 オムロン株式会社 不良分析箇所特定装置、不良分析箇所特定方法、不良分析箇所特定用プログラム、およびコンピュータ読取り可能記録媒体
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7433033B2 (en) * 2006-05-05 2008-10-07 Asml Netherlands B.V. Inspection method and apparatus using same
FR2918775A1 (fr) * 2007-07-12 2009-01-16 Pdf Solutions Sas Soc Par Acti Normalisation des variables de processus dans un processus de fabrication
JP5159373B2 (ja) * 2008-03-06 2013-03-06 オリンパス株式会社 基板検査方法
US9768082B2 (en) 2009-02-13 2017-09-19 Hermes Microvision Inc. Method and machine for examining wafers
US8571299B2 (en) * 2010-08-30 2013-10-29 International Business Machines Corporation Identifying defects
US9780004B2 (en) * 2011-03-25 2017-10-03 Kla-Tencor Corporation Methods and apparatus for optimization of inspection speed by generation of stage speed profile and selection of care areas for automated wafer inspection
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9310316B2 (en) * 2012-09-11 2016-04-12 Kla-Tencor Corp. Selecting parameters for defect detection methods
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
JP5997039B2 (ja) * 2012-12-26 2016-09-21 株式会社日立ハイテクノロジーズ 欠陥検査方法および欠陥検査装置
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US10120372B2 (en) * 2013-08-01 2018-11-06 Applied Materials, Inc. Event processing based system for manufacturing yield improvement
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9696268B2 (en) * 2014-10-27 2017-07-04 Kla-Tencor Corporation Automated decision-based energy-dispersive x-ray methodology and apparatus
US10177048B2 (en) 2015-03-04 2019-01-08 Applied Materials Israel Ltd. System for inspecting and reviewing a sample
US10228678B2 (en) * 2015-07-22 2019-03-12 Tokyo Electron Limited Tool failure analysis using space-distorted similarity
KR101810991B1 (ko) * 2016-02-04 2018-01-25 주식회사 고영테크놀러지 검사대, 검사 시스템 및 검사 방법
US10190991B2 (en) 2016-11-03 2019-01-29 Applied Materials Israel Ltd. Method for adaptive sampling in examining an object and system thereof
US11195268B2 (en) * 2018-05-22 2021-12-07 Kla-Tencor Corporation Target selection improvements for better design alignment
US11132787B2 (en) * 2018-07-09 2021-09-28 Instrumental, Inc. Method for monitoring manufacture of assembly units

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000314710A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 回路パターンの検査方法及び検査装置
JP2001208696A (ja) * 2000-01-25 2001-08-03 Hitachi Ltd パターン付き試料観測装置および方法
JP2001521249A (ja) * 1997-10-27 2001-11-06 ケイエルエイ−テンコー コーポレイション 生産分析において分類及び属性を拡張するソフトウエアシステム及び方法
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
JP2002134574A (ja) * 2000-10-20 2002-05-10 Applied Materials Inc 基板の欠陥検査方法および基板の欠陥検査システム
JP2003099113A (ja) * 2001-09-21 2003-04-04 Olympus Optical Co Ltd 一括管理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL99823A0 (en) 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
WO1997035337A1 (en) 1996-03-19 1997-09-25 Hitachi, Ltd. Process control system
US6072574A (en) 1997-01-30 2000-06-06 Micron Technology, Inc. Integrated circuit defect review and classification process
JP3397101B2 (ja) 1997-10-29 2003-04-14 株式会社日立製作所 欠陥検査方法および装置
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6208751B1 (en) 1998-03-24 2001-03-27 Applied Materials, Inc. Cluster tool
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6426501B1 (en) 1998-05-27 2002-07-30 Jeol Ltd. Defect-review SEM, reference sample for adjustment thereof, method for adjustment thereof, and method of inspecting contact holes
US6300629B1 (en) 1998-09-30 2001-10-09 Applied Materials, Inc. Defect review SEM with automatically switchable detector
US6407386B1 (en) 1999-02-23 2002-06-18 Applied Materials, Inc. System and method for automatic analysis of defect material on semiconductors
US6424881B1 (en) 1999-09-23 2002-07-23 Advanced Micro Devices, Inc. Computer generated recipe selector utilizing defect file information
JP4312910B2 (ja) 1999-12-02 2009-08-12 株式会社日立製作所 レビューsem
US6610980B2 (en) 2000-05-15 2003-08-26 Kla-Tencor Corporation Apparatus for inspection of semiconductor wafers and masks using a low energy electron microscope with two illuminating beams
US6701259B2 (en) 2000-10-02 2004-03-02 Applied Materials, Inc. Defect source identifier
US6744266B2 (en) 2000-10-02 2004-06-01 Applied Materials, Inc. Defect knowledge library
US6635872B2 (en) 2001-04-05 2003-10-21 Applied Materials, Inc. Defect inspection efficiency improvement with in-situ statistical analysis of defect data during inspection
US6521466B1 (en) 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US6959251B2 (en) 2002-08-23 2005-10-25 Kla-Tencor Technologies, Corporation Inspection system setup techniques

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001521249A (ja) * 1997-10-27 2001-11-06 ケイエルエイ−テンコー コーポレイション 生産分析において分類及び属性を拡張するソフトウエアシステム及び方法
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
JP2000314710A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 回路パターンの検査方法及び検査装置
JP2001208696A (ja) * 2000-01-25 2001-08-03 Hitachi Ltd パターン付き試料観測装置および方法
JP2002134574A (ja) * 2000-10-20 2002-05-10 Applied Materials Inc 基板の欠陥検査方法および基板の欠陥検査システム
JP2003099113A (ja) * 2001-09-21 2003-04-04 Olympus Optical Co Ltd 一括管理装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007017290A (ja) * 2005-07-07 2007-01-25 Hitachi High-Technologies Corp 欠陥データ処理方法、およびデータの処理装置
JP4652917B2 (ja) * 2005-07-07 2011-03-16 株式会社日立ハイテクノロジーズ 欠陥データ処理方法、およびデータの処理装置
JP2016139811A (ja) * 2005-11-18 2016-08-04 ケーエルエー−テンカー コーポレイション ウェハ上で検出された欠陥をビン範囲に従って分けるように構成されたシステム
JP2019049556A (ja) * 2009-01-13 2019-03-28 セミコンダクター テクノロジーズ アンド インストゥルメンツ ピーティーイー リミテッド ウェーハの検査システム及び方法

Also Published As

Publication number Publication date
US20050033528A1 (en) 2005-02-10
WO2004097903A2 (en) 2004-11-11
WO2004097903A3 (en) 2005-05-06
JP4722038B2 (ja) 2011-07-13
US6952653B2 (en) 2005-10-04

Similar Documents

Publication Publication Date Title
JP4722038B2 (ja) 単一ツール欠陥分類ソリューション
JP6719462B2 (ja) プロセスウィンドウキャラクタライゼーションのための仮想検査システム
KR102568074B1 (ko) 반도체 제조 프로세스에서 딥 러닝을 사용하여 결함 및 임계 치수를 예측하기 위한 시스템 및 방법
JP5284792B2 (ja) 検査サンプル上で検出された欠陥分類のための方法とシステム
KR101144545B1 (ko) 반도체 제조를 위한 탄력적 혼성 결함 분류
KR101995618B1 (ko) 자동화된 검사 시나리오 생성
US10901402B2 (en) Closed-loop automatic defect inspection and classification
JP5134188B2 (ja) 試料上の欠陥を分析する装置
JP2017032998A (ja) ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのための方法
TWI769361B (zh) 使用電子束檢測及具有即時情報之深度學習以減少損害的缺陷探索
TW201351346A (zh) 用於自動化檢查半導體器件之配方產生之方法,電腦系統及裝置
US20190333205A1 (en) Defect pattern grouping method and system
TWI782210B (zh) 整合式掃描式電子顯微鏡及用於先進製程控制之光學分析技術
US9727799B2 (en) Method of automatic defect classification
US10304177B2 (en) Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
US6941007B1 (en) Pattern recognition with the use of multiple images
KR102579578B1 (ko) 반도체 적용을 위한 참조 이미지 생성
US6281024B1 (en) Semiconductor device inspection and analysis method and its apparatus and a method for manufacturing a semiconductor device
Skumanich Process and yield improvement based on fast in-line automatic defect classification
Ben-Porath et al. Advanced process development and control based on a fully automated SEM with ADC
WO2015064399A1 (ja) 荷電粒子線装置およびプログラム記録媒体
JP2012137466A (ja) 半導体装置の不良解析方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100714

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4722038

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees