JP2006509375A - 多層ゲートスタック - Google Patents

多層ゲートスタック Download PDF

Info

Publication number
JP2006509375A
JP2006509375A JP2004559293A JP2004559293A JP2006509375A JP 2006509375 A JP2006509375 A JP 2006509375A JP 2004559293 A JP2004559293 A JP 2004559293A JP 2004559293 A JP2004559293 A JP 2004559293A JP 2006509375 A JP2006509375 A JP 2006509375A
Authority
JP
Japan
Prior art keywords
layer
gate
nitride layer
semiconductor
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004559293A
Other languages
English (en)
Other versions
JP2006509375A5 (ja
Inventor
ソウラブ デュッタ チャウドフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cypress Semiconductor Corp
Original Assignee
Cypress Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cypress Semiconductor Corp filed Critical Cypress Semiconductor Corp
Publication of JP2006509375A publication Critical patent/JP2006509375A/ja
Publication of JP2006509375A5 publication Critical patent/JP2006509375A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Abstract

プラズマによりパターン形成された窒化層を形成するために窒化層をエッチングすることからなる半導体構造体を製造する方法。窒化層は半導体の基板上にあり、フォトレジスト層は窒化層上にあり、プラズマは、少なくとも圧力10ミリトルでCF4及びCHF3のガス混合物から形成される。

Description

現代の集積回路は、トランジスタやコンデンサのように、半導体の基板の中や上で形成される最大数百万の能動素子で構成されている。能動素子間の相互接続は、多結晶シリコン及び多結晶金属のような複数の導電性相互接続層を設けることにより生成され、この導電性相互接続層は、エッチングされて搬送信号のための導電体を形成する。導電性層及び層間誘電体層が、例えば各層が厚さ0.1ミクロンのオーダーになるように、連続的にケイ素基板ウェハ上に成層される。
ゲート構造は、トランジスタの一素子である。図1は、ゲートスタック8の例を示している。半導体の基板10は、基板(12,14)内のドープ領域(ソース・ドレン領域)に重なるゲートの絶縁層16を支持し、ゲート絶縁層は、典型的には多結晶シリコンであるゲート18を支持する。ゲート上には金属層30がある。金属層は、全体的にバリヤー層20として示される窒化物、酸化物又はケイ素化合物のような、1つ又はそれ以上の他の層によりゲートから分離される。次いで、金属層は、窒化物、酸化物又はケイ素化合物のような1つ又はそれ以上の他の層(全体を40)を支持する。酸化物22が、ゲートスタックの根元部においてゲート酸化物を保護するために、ゲートの側面に形成され、そして絶縁スペーサー24が、ゲートスタックのいずれかの側面に形成される。更に、基板内のソース・ドレン領域に対するコンタクト及びゲート構造に対するコンタクトが形成されることがある。
自己整合コンタクト(self‐aligned contact=SAC)は、ゲートと基板へのバイアコンタクトとの間の距離を、最大で最小ゲート幅の2分の1にするような半導体素子の設計を可能にする。典型的には、SACは、整合不良のコンタクトがゲート自体に接触することを阻止するために窒化物を含むようにされたスペーサーと共に、ゲートスタック上の窒化層を使用する。窒化物が存在していない場合には、コンタクトとなる穴を形成するために使用されるエッチングが、誘電体層を貫通してゲートに達することになる。窒化物が存在する場合には、窒化層とスペーサーは、エッチングのストップ作用を果たし、不整合のために穴がゲートまで達するようになることを防止し、したがって、コンタクトとゲートの間に遥かに小さな平均距離を持つ素子設計を可能にする。
ゲートスタック上の窒化層は、SACの形成に使用されるときには少なくとも800オングストロームの厚さがある。エッチング停止層又はハードマスクのような他の目的にのみ使用される場合には、800オングストローム以下の厚さが使用される。また、少なくとも800オングストロームの厚さは、誘電体層が形成された後の厚さであり、窒化層は、最初に形成されたときには通常はもっと厚く、ゲートエッチングの間に約500オングストロームの損失を許容し(例えばハードマスク機能としての厚さ)、窒化物スペーサーの形成の間に約200オングストロームの損失を許容する。
集積回路及び半導体構造体内の素子の大きさを減らすことについて継続する必要性がある。素子の大きさが減少するにつれて、望ましいより小さな形状を得るためには、フォトレジストを露光するのに、より短い波長の放射線が必要である。このため、より短い波長の放射線に感度を持つフォトレジストを使用しなければならない。0.1ミクロンのオーダーの形状を得るために、193ナノメートルの波長を持つ放射線が使用されており、この波長に対して感度を持つフォトレジストは193ナノメートル・レジストと呼ばれる。このレジストは、T9269(スイス、ムッテン、Clariant International Ltd.製)、6A100(日本川崎市 東京応化工業株式会社)、及びAR414とAR237(両方とも日本、東京、日本合成ゴム株式会社製)のように種々のものが市販されている。
しかし、遭遇する好ましくない問題は、エッチング処理が特定のフォトレジストに対して最適化され、スケールの減少に伴って異なるフォトレジストに切り換えることにより、ラインエッジ粗さの増大のような問題を生じ得ることである。(「An Experimentally Validated Analytical Model For Gate Line‐Edge Roughness (LER) Effects on Technology Scaling」 Diaz, C. H.他 IEEE Electronic Device Letters,22巻No.6,287から89ページ(2001年6月)を参照のこと)。ラインエッジ粗さが増大すると素子の不良や素子の収益の減少という結果になる。
「An Experimentally Validated Analytical Model For Gate Line‐Edge Roughness (LER) Effects on Technology Scaling」 Diaz, C. H.他 IEEE Electronic Device Letters,22巻No.6,287から89ページ(2001年6月) Kirk‐Othmerによる工業化学百科事典の14巻、677から709ページ(1995年) Semiconductor Device Fundamentals、Robert F. Pierret、Addison−Wesley、1996年、Wolf、Silicon Processing for the VLSI Era. Lattice Press、1986年、1990年、1995年(それぞれの1から3巻) Microship Fabrication 第4版、Peter Van Zant、Mcgraw−Hill、2000年
第一の態様においては、本発明は、窒化層をプラズマによりエッチングして、パターン形成された窒化層を形成することを含む半導体構造体を製造する方法である。窒化層は半導体の基板上にあり、フォトレジスト層が窒化層上にあり、プラズマは、少なくとも10ミリトルの圧力においてCF4(テトラフルオルメタン)及びCHF3(トリフルオルメタン)を含むガス混合物から形成される。
第二の態様においては、本発明は窒化層をプラズマによりエッチングして、パターン形成された窒化層を形成することを含む半導体構造体を製造する方法である。窒化層はスタック上にあり、スタックは半導体の基板上にあり、スタックは、(i)ケイ素を含むゲート層、及び(ii)ゲート層上の金属層を含んでいる。フォトレジスト層は窒化層上にあり、フォトレジスト層は193ナノメートルのフォトレジストを含み、パターン形成された窒化層は8ナノメートル以下のラインエッジ粗さであり、プラズマは炭素、水素及びフッ素から構成される。
第三の態様においては、本発明は、半導体基板上のパターン形成された窒化層を含む半導体構造体である。パターン形成された窒化層は9ナノメートル以下のラインエッジ粗さであり、基板の分離領域は0.4ミクロン以下の幅である。
ラインエッジ粗さは任意の線に沿って1ミクロン間隔で均一に取られた10個の重要寸法(critical demension=CD)の読み値の3シグマとして定義される。(「An Experimentally Validated Analytical Model For Gate Line‐Edge Roughness(LER) Effects on Technology Scaling」 Diaz, C. H.他 IEEE Electronic Device Letters,22巻No.6,287から89ページ(2001年6月)における長距離LERの説明を参照のこと)
本発明は、9ナノメートル以下のラインエッジ粗さを生成するための新規な窒化物エッチング方法の発見を利用している。一般的に、窒化物のエッチングは、エッチングが4ミリトルの圧力のもとでCH22(ジフルオルメタン)により形成されたプラズマで実行された場合、193ナノメートル・レジストに対して10から12ナノメートルのラインエッジ粗さという結果となる。9ナノメートル以下のラインエッジ粗さはエッチングのプラズマ圧力を著しく増加させること、及びプラズマにおけるフッ素濃度を増加させることにより達成される。
図2を参照すると、ゲート絶縁層102が半導体基板100上にある。半導体基板は、従来から知られている半導体材料とすることができる。半導体の例は、ケイ素、ガリウムヒ素、ゲルマニウム、窒化ガリウム、リン化アルミニウム、及び、0≦x≦1として、Si1‐xGex及びAIxGa1‐xAsのような合金を含む。半導体基板はケイ素が好ましく、ケイ素はドープされたものでも、されていないものでもよい。ゲート絶縁層102は、従来から知られている絶縁体材料とすることができる。例えば、ゲート絶縁層は、シリコン酸化物又はシリコン窒酸化物を含むことができる。
図3を参照すると、ゲート層105がゲート絶縁層上に形成される。このゲート層は、様々な半導体材料を含むことができる。典型的には、ゲート層は、多結晶シリコン(ポリ)又はアモルファスシリコンを含む。ゲート層は、一つの型のドープ剤(P+又はN+)によりドープされるか、又は、区分された領域に両方の型のドープ剤を含むことができる。分割ゲートは、P+とN+両方のドーピング領域を持つゲート層である。
分割ゲートの場合、P+型ドープされた(B又はBF2 +で)ゲート領域が基板のN-型ドープされたチャンネル領域上にあってPMOS(P型金属酸化膜半導体)素子を形成し、N+型ドープされた(As+又はリン+で)ゲート領域が、基板のP-型ドープされたチャンネル領域上にあってNMOS(N型金属酸化膜半導体)素子を形成する。ゲートのP+及びN+ドーピング領域は、基板の分離領域上にある領域で分離されており、この分離領域の幅は0.4ミクロン以下、より好ましい幅は0.36ミクロン以下である。ゲート領域のドーピングは、ゲートの形成後に、各領域を別々にマスキング及びドーピングするか、或いは一つの型のドープ剤でゲート全体をドーピンし、次いで他の型のドープ剤で一つの領域だけをマスキング及びドーピングする(カウンタードーピング処理)ことにより遂行することが好ましい。
図4を参照すると、任意にバリヤー層115をゲート層上に形成することができる。この任意のバリヤー層は、窒化物、ケイ素化合物、酸化物を含む様々な物質を含むことができ、導電性材料が好ましい。例えば、バリヤー層は、耐火ケイ素化合物及び窒化物を含むことができる。バリヤー層は、窒化ケイ素、或いは、タンタル、チタニウム、ニオブ又はタングステンのような金属の窒化物又はケイ化物、例えば窒化タングステンを含むことが好ましい。
更に図4を参照すると、金属層125が存在させる場合には、該金属層125は、ゲート層上又はバリヤー層115上に形成することができる。金属層の厚みは200から600オングストロームが好ましく、更に好ましいのは300から500オングストロームであり、325から450オングストロームが最も好ましい。金属層125は、様々な金属含有物質を含むことができる。例えば、金属層は、アルミニウム、銅、タンタル、チタニウム、タングステン、或いはその合金又は化合物を含むことができる。金属層はタングステン又はチタニウムからなることが好ましい。例えば、金属層は、金属の物理的気相成長法(PVD)又はハロゲン化金属と水素の混合物の低圧化学蒸着法(LPCVD)によって形成することができる。
図5を参照すると、任意にバリヤー層135を金属層上に形成することができる。この第2の任意のバリヤー層の形成は、第1の任意のバリヤー層115について説明したように遂行することができ、この層は、同じ物質により同じ厚さに形成することができる。
更に図5を参照すると、エッチング停止層145が、化学蒸着法(CVD)を含む様々な方法で金属層上に形成される。エッチング停止層は、窒化層であることが好ましい。更には、エッチング停止層は、プラズマ増強化学蒸着法(PECVD)により形成された窒化ケイ素であることが好ましい。エッチング停止層は、組成を異ならせ、エッチング停止層の上に反射防止があるように、例えばエッチング停止層の上にケイ素濃度の高い窒化ケイ素、又はケイ素の酸窒化物があるようにし、この層が後のエッチング中にエッチング停止層を保護するハードマスクの機能も果たすようにすることができる。代わりに、別の反射防止層(ARC)を形成することができる。
エッチング停止層は、比較的低温で急速に形成することが好ましい。例えば、ゲート層がP+及びN+両方のドーピング領域を含む場合には、ウェハが十分に高温のもとで長時間保持されるとドープ剤の拡散を生じる。したがって、どんな高温処理も、比較的短時間においてのみ行われることが望ましい。同様に、長時間の処理はいずれも、比較的低温で行われることが望ましい。もし大気が実質的に無酸素であれば、或いは還元環境(水素を多く含む)であれば、エッチング停止層は、750度までの温度のもとで形成されることが好ましい。典型的な状況のもとでは、600度までの温度が好ましく、450度までが更に好ましい。少なくとも350度の温度、例えば400度が好ましい。エッチング停止層の被着は、分割ゲートにおけるP+領域とN+領域の間で実質的な拡散を生じない温度及び時間で遂行されることが好ましい。
エッチング停止層は、ゲート層のエッチングの後で、かつ、ゲートスペーサーの形成の後の状態で、少なくとも800オングストロームの厚さが好ましく、少なくとも1100オングストロームが一層好ましく、最も好ましいのは少なくとも1200オングストロームである。エッチング停止層の約500オングストロームがゲート層のエッチング中に失われ、エッチング停止層の約200オングストロームがスペーサーの形成の間に失われる。少なくとも1500オングストロームの厚さにエッチング停止層を被着させることが好ましく、少なくとも1800オングストロームの厚さにエッチング停止層を被着させることが一層好ましく、少なくとも2100オングストロームの厚さにエッチング停止層を被着させることが最も好ましい。ゲート層のエッチングの後で、かつ、ゲートスペーサーの形成の後(或いはその代わりとして誘電体層が形成された後)の状態で、エッチング停止層は800から1800オングストロームの厚さが好ましく、1100から1500オングストロームの厚さが一層好ましく、最も好ましいのは1200から1400オングストロームである。同様に、工程におけるゲート層エッチング及びスペーサー形成の各点においてエッチング停止層の損失を生じるような物質が使われるときには、成層付着される厚みは、上述と同様の範囲に、ゲート層のエッチング及びスペーサーの形成の間に生じる損失を調整するための追加の700オングストロームを付加したものとすることが好ましい。
図6−9を参照すると、各層は、ゲートスタックを形成するためにパターン形成することができる。このパターン形成は、例えば従来の写真平版技術とエッチング技術により遂行することができる。図6及び7を参照すると、例えば、エッチング停止層145(図6)上にパターン状のフォトレジスト210を形成し、次いで層の露光された部分をエッチングすることによってエッチングを行い、パターン形成されたエッチング停止層150を形成することによって、エッチング停止層が形成される。側壁の不動態化状態を除くために、フッ化水素酸浸漬を使用することができる。
エッチング停止層のエッチングは、ガス混合物から形成されたプラズマに露出することにより遂行することができる。ガス及びプラズマは、炭素、フッ素及び水素から構成することが好ましい。フッ素と水素の原子比は、43:1から13:3が好ましく、35:1から5:1が更に好ましく、27:1から7:1が最も好ましい。ガス混合物は、CF4及びCHF3を含むことが好ましく、CF4とCHF3の容積比は、10:1から1:3が好ましく、8:1から1:2が更に好ましく、6:1から1:1が最も好ましい。ガス混合物及びプラズマは又、He(ヘリウム)、Ne(ネオン)又はAr(アルゴン)のような他のガスを含むことができる。エッチング中の圧力は、4ミリトルより大きく、10から80ミリトルのように、少なくとも10ミリトルが好ましく、15から45ミリトルのように、少なくとも15ミリトルが更に好ましく、25から35ミリトルが最も好ましい。
到達したラインエッジ粗さは9ナノメートル以下、好ましくは8ナノメートル以下、6ナノメートル以下となることが最も好ましい。このプラズマエッチングのその他の利点は、フォトレジストの消費が少ないことである。このプラズマエッチングは、窒化層を形成する他のステップにおいて、例えば、浅いトレンチ分離(STI)形成工程中といった、分離領域の形成中に使用することができる。
図8を参照すると、パターン状のエッチング停止層は、パターン状の金属層130を形成するために金属層125(図7)をエッチングする場合のハードマスクとして使用することができる。図9を参照すると、パターン状のエッチング停止層とパターン状の金属層は、パターン状のゲート層110を形成するためにゲート層105(図8)をエッチングする場合のハードマスクとして使用することができる。ゲートのエッチングは、例えば塩素、臭化水素酸及び/又は酸素から形成されたプラズマに露出することによる従来のゲートエッチング技術によって遂行することができる。
パターン形成されたフォトレジスト210(図6)は、エッチング停止層のエッチングに続くゲートスタック形成のいずれかのステップにおいて除去できる。例えば、パターン形成されたフォトレジストは、エッチング停止層のエッチング(図6と7に示されるように)直後に除去できるし、或いは金属層のエッチングの後又はゲートエッチングの後で除去できる。フォトレジストの除去に続いて、フォトレジストの残留副産物の除去又はフォトレジストの除去を確認するための洗浄手順を行うことができる。例えば、フォトレジストは、パターン形成されたフォトレジストをアッシングしてパターン形成されたエッチング停止層(図を有するゲートスタックを構成することにより除去することができる。フォトレジスト層のないこのゲートスタックは、次いで洗浄液で処理して、洗浄工程と除去を完了することができる。最も好ましい洗浄剤は、水、2−(2アミノエチレン)エタノール、ヒドロキシルアミン、及びカテコールを含むものである。洗浄液の例としては、EKC265(カリフォルニア州へーワード、EKC)がある。
このように、図9は、半導体のウェハ上に形成できるゲートスタック200を表している。半導体の基板100はゲート絶縁層102を支持し、該ゲート絶縁層102は順にゲート層110を支持している。ゲート層は金属層130を支持し、該金属層130は任意にバリヤー層120によりゲート層から分離することができる。任意に、金属層はバリヤー層140を支持するようにすることができる。エッチング停止層150は、金属層130上、或いは任意に該金属層の上方の層140の上にある。
ゲート構造の更なる処理は、ゲート層110上の側壁の酸化物領域170を形成することと、スタックの側面にスペーサー160(酸化物を含むことが好ましい)を形成することを含むことができる。更に、図10に示されるように、誘電体層180をエッチング停止層上に形成することができ、かつ、該誘電体を通って基板に達するようにコンタクト又はバイア190を形成することができる。このバイアは、例えばTiN及びタングステンのそれぞれにより線形成及び充填されてバイアコンタクトを形成することができる。他の処理は、ゲート自体に対するコンタクトの形成を含むことができる。誘電体層が形成された後に、エッチング停止層は、少なくとも800オングストローム、更に好ましくは少なくとも1100オングストロームの厚さであり、これは、SACの形成を可能にするために使用できる。
該半導体構造体から半導体素子を形成することを完了するために、他の処理を使用することができる。例えば、ソース/ドレン領域12、14を基板内に形成することができ、追加の誘電体層を基板上に形成することができ、コンタクト及び金属化層をこれらの構造上に形成することができる。これらの追加の素子は、ゲートスタックの形成の前、形成中又はその後に形成することができる。
本発明において使用するための、ゲートスタック層のエッチング、及び、研磨、洗浄、被着のステップのような他のステップを含む関連の処理ステップは、当技術における当業者によく知られており、Kirk‐Othmerによる工業化学百科事典の14巻、677から709ページ(1995年)、Semiconductor Device Fundamentals、Robert F. Pierret、Addison−Wesley、1996年、Wolf、Silicon Processing for the VLSI Era. Lattice Press、1986年、1990年、1995年(それぞれの1から3巻)、及び、Microship Fabrication 第4版、Peter Van Zant、Mcgraw−Hill、2000年において説明されている。
本発明の半導体構造体は、例えばSRAM、DRAM、EPROM、EEPROMなどのようなメモリーセル、プログラム可能論理装置、データ通信装置、クロック発振装置などの集積回路のような半導体素子に組み込むことができる。更に、この半導体素子のいずれも、例えばコンピュータ、航空機又は自動車のような電子素子に組み込まれる。
実施例1−ゲート構造の形成
以下の詳細なステップが、分割ゲートを有するゲートスタックを形成するために使用された。








Figure 2006509375
底部反射防止膜(BARC)が、以下の条件でエッチングされた。CF4流量100sccm(標準立方センチメートル/毎分)、Ar流量100sccm、電力600W、バイアス75W、圧力16ミリトル、温度60度。温度は、BARCエッチング中並びにその後のステップにおいて、例えばHe背後冷却により制御される。
次いで、レジストは、HBr(臭化水素)流量160sccm、O2流量28sccm、電力400W、圧力8ミリトル、温度60度、10秒間という条件下でトリムされた。代替的に、レジストは、以下の条件でトリムされた。HBr流量169sccm、O2流量19sccm、電力400W、圧力8ミリトル、温度60度、5秒間。
窒化物のエッチングは、プラズマにより、圧力30ミリトル、電力500W、バイアス100W、温度60から65度という条件下で遂行された。ガス組成は、275sccmでのCHF3と、300sccmでのCF4である。20%のオーバーエッチングが使用された。
タングステンは、NF3(三フッ化窒素)流量10sccm、Cl2流量25sccm、O2流量5sccm、Ar流量50sccm、N2流量30sccm、He流量150sccm、電力800W,バイアス60W、圧力4ミリトル、温度60度、10秒間の条件下でエッチングされた。
レジスト材料は、アッシング(例えば、80度においてCF4及びO2混合物のもとでの)により除去され、スタックは、EKC265(カリフォルニア州へーワード、EKC、2−(2アミノエチレン)エタノール、ヒドロキシルアミンとカテコールの混合)を用いて65又は70度において10分間、そして20度において2分間噴霧しながら(噴霧用ツールを使用)回転させることによりウェハを処理し、それに続いて、タングステンの望ましくない酸化を防ぐために、脱イオン水によるリンス行うことによって洗浄された。この洗浄は、望ましくない酸化を防ぐために、タングステン又はタングステン窒化物が遭遇するあらゆる除去ステップ及び洗浄ステップにも使用される。また、以下の条件により洗浄を行って、その後で、水洗浄を行い、下流側においてプラズマアッシングを行うこともできる。〔ステップ1〕CF4流量50sccm、H2O流量160sccm、N2/H2流量1400sccm、電力1050W、バイアス100W、圧力750ミリトル、温度80度、30秒間。〔ステップ2〕NF3流量40sccm、H2O流量170sccm、O2流量170sccm、バイアス150W、250ミリトルの圧力、温度80度、120秒間。
次いで、タングステンは、以下の条件、すなわち、NF3流量15sccm、Cl2流量25sccm、O2流量5sccm標準立方センチメートル毎分、Ar流量50sccm、N2流量30sccm、He流量150sccm、電力800W、バイアス35W、圧力4ミリトル、温度60度という条件下でエッチングされた。タングステンのオーバーエッチングが5秒間実行された。その時システムは20秒間にわたりポンプダウンされた。
次いで、ポリは、HBr流量250sccm、He(80%)/O2(20%)流量12sccm、電力450W、バイアス40W、圧力25ミリトル、温度60度という条件のもとでエッチングされた。ポリのオーバーエッチングは、HBr流量150sccm、He(80%)/O2(20%)流量8sccm、He流量100sccm、電力200W、バイアス70W、圧力70ミリトル、温度60度、63秒間という条件のもとで遂行された。代わりに、ポリのオーバーエッチングは、HBr流量150sccm、He(80%)/O2(20%)流量13sccm、He流量200sccm、電力250W、バイアス60W、圧力80ミリトル、温度60度、53秒間という条件のもとで遂行された。洗浄は上記のように行うことができ、或いは、例えば、以下の条件、すなわち、CF4流量40sccm、O2流量1000sccm、H2O流量200sccm、N2流量150sccm、電力1700W、圧力700ミリトル、温度70度、80秒間という条件のもとで洗浄を行い、その後で、下流側において水リンス(例えば脱イオン水で7サイクルにより)することにより遂行することができる。
ポリの露出された側は、選択的酸化による約50から70オングストロームの厚さの酸化物の層で覆われていた。この工程は、タングステン及びタングステン窒化物とは異なり、ポリを選択的に酸化するために、温度750度のもとで、水素及び酸素(10%は蒸気)の混合物にスタックを曝すことにより遂行された。
スペーサー形成のための窒化層を形成するために、BTBASを以下の条件、すなわち、BTBAS流量50sccm、NH3流量100sccm、圧力150ミリトル、温度550度という条件のもとで使用した。
窒化物のエッチング(窒化物−ポリ切り出しマスクエッチング及び洗浄)は、圧力35ミリトル、電力280W、温度15度のもとで、プラズマにより実行された。主エッチングのガス組成は、30sccmのCHF3、60sccmのAr、10sccmのO2であった。洗浄は、次の2つのステップに基づきプラズマアッシングを使用して行い、その後で洗剤による洗浄を行った。
ステップ1:
圧力2ミリトル、温度185度、マイクロ波電力800W、ガスは、3750sccmのO2及び375sccmのN2
ステップ2:
温度200度、マイクロ波電力1400Wであること以外は同じ値。
コンタクトを形成するためのエッチング(SACエッチング)は、ARCエッチングの条件として、圧力55ミリトル、電力500W、温度35度、20ガウスの磁石、ガスは、5sccmのCF4、10sccmのCHF3、及び10sccmのC224におけるプラズマを使用し、主エッチングの条件は、55ミリトルの圧力、電力500W、温度35度、25ガウスの磁石、ガスは、80sccmのCHF3、8sccmのC224、90sccmのArであった。洗浄は、次の2つのステップによるプラズマでアッシングの後で、洗剤による洗浄を行うことにより遂行された。
ステップ1:
圧力400ミリトル、温度20±5度、RF電力420W、400sccmのO2ガス。
ステップ2:
圧力750ミリトル、温度20±5度、RF電力420W、ガスは、400sccmのN2、400sccmのH2、5sccmのNF3、或いは、その代わりとして、
圧力750ミリトル、温度40±5度、RF電力350W、ガスは、20sccmのCF4、200sccmのN2/5%H2、500sccmのO2
SACエッチング洗浄は、噴霧ツールによりEKC265を使用して、温度70度で10分間、更に20度で2分間行い、その後で、脱イオン水によるリンスを行い、次いで、N2内で回転乾燥をし、その後で、150度のH2SO4(硫酸)によりそれぞれ10分間ずつ2回洗浄し、N2内で回転乾燥をした。
スタックにおいては、窒化ケイ素層は1300オングストロームの厚さであり(窒化ケイ素はポリエッチング及びスペーサーエッチングの間に失われるので被着した実際の量はより大きいのであるが)、タングステン層は325オングストロームの厚さであり、タングステン窒化層は75オングストロームの厚さであり、ポリ層は735オングストロームの厚さであった。コンタクトは、最上部では0.13ミクロンの幅であり、底部は0.05ミクロンの幅であった。
ゲートスタック構造を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 図9の構造の形成方法を示す。 本発明のゲートスタックを示す。 図9の後の処理をした後のゲートスタックを示す。

Claims (20)

  1. 半導体構造体の製造方法であって、
    窒化層をプラズマによりエッチングして、パターン形成された窒化層を形成する工程を含み、
    前記窒化層は半導体基板上にあり、
    フォトレジスト層が前記窒化層上にあり、
    前記プラズマは少なくとも10ミリトルの圧力においてCF4及びCHF3を含むガス混合物から調製される、
    ことを特徴とする、前記方法。
  2. 前記ガス混合物は、CF4:CHF3比が10:1から1:3であることを特徴とする請求項1に記載の方法。
  3. 前記圧力は15から45ミリトルであることを特徴とする請求項1に記載の方法。
  4. 前記フォトレジスト層は193ナノメートルのフォトレジストを含むことを特徴とする請求項1に記載の方法。
  5. 前記パターン形成された窒化層は9ナノメートル以下のラインエッジ粗さを有することを特徴とする請求項1に記載の方法。
  6. 前記ガス混合物はCF4:CHF3比が8:1から1:2であり、
    前記圧力は25から35ミリトルであり、
    前記フォトレジスト層は193ナノメートルのフォトレジストを含む、
    ことを特徴とする請求項1に記載の方法。
  7. 半導体構造体の製造方法であって、
    窒化層をプラズマによりエッチングして、パターン形成された窒化層を形成する工程を含み、
    前記窒化層はスタック上にあり、
    前記スタックは半導体基板上にあり、
    前記スタックは、
    (i)ケイ素を含むゲート層と、
    (ii)前記ゲート層上の金属層と、
    を含み、
    フォトレジスト層が前記窒化層上にあり、
    前記フォトレジスト層は193ナノメートルのフォトレジストを含み、
    前記パターン形成された窒化層は8ナノメートル以下のラインエッジ粗さを有し、
    前記プラズマは炭素、水素及びフッ素を含む、
    ことを特徴とする前記方法。
  8. 前記パターン形成された窒化層は、6ナノメートル以下のラインエッジ粗さであることを特徴とする請求項7に記載の方法。
  9. 前記プラズマは、少なくとも15ミリトルの圧力においてガス混合物から調製されることを特徴とする請求項7に記載の方法。
  10. 前記プラズマは、25から35ミリトルの圧力においてガス混合物から調製されることを特徴とする請求項7に記載の方法。
  11. 前記ゲート層はP+領域及びN+領域を含み、
    前記P+領域及びN+領域は、0.4ミクロン以下の幅を有する前記基板の分離領域上の領域により分離されている、
    ことを特徴とする請求項7に記載の方法。
  12. 請求項1に記載の方法により半導体構造体を形成し、
    前記半導体構造体から半導体素子を形成する、
    ことを特徴とする半導体素子を製造する方法。
  13. 請求項12に記載の方法により半導体素子を形成し、
    前記半導体素子を有する電子素子を形成する、
    ことを特徴とする電子素子を製造する方法。
  14. 請求項7に記載の方法により半導体構造体を形成し、
    前記半導体構造体から半導体素子を形成する、
    ことを特徴とする半導体素子を製造する方法。
  15. 請求項14に記載の方法により半導体素子を形成し、
    前記半導体素子を有する電子素子を形成する、
    ことを特徴とする電子素子を製造する方法。
  16. 請求項1に記載の方法により製造された半導体構造体。
  17. 請求項7に記載の方法により製造された半導体構造体。
  18. 半導体基板上のパターン形成された窒化層を含み、
    前記パターン形成された窒化層は、9ナノメートル以下のラインエッジ粗さであり、
    前記基板の分離領域は、0.4ミクロン以下の幅を有する、
    ことを特徴とする半導体構造体。
  19. 前記窒化層と前記半導体構造体との間に更にスタックを有し、
    前記スタックは、
    (i)ケイ素を含むゲート層と、
    (ii)前記ゲート層上の金属層と、
    を有し、
    前記ゲート層は前記分離領域上にある、
    ことを特徴とする請求項18に記載の半導体構造体。
  20. 前記パターン形成された窒化層は6ナノメートル以下のラインエッジ粗さであることを特徴とする請求項18に記載の半導体構造体。
JP2004559293A 2002-12-06 2003-12-04 多層ゲートスタック Pending JP2006509375A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/314,380 US7229929B2 (en) 2002-12-06 2002-12-06 Multi-layer gate stack
PCT/US2003/038631 WO2004053936A2 (en) 2002-12-06 2003-12-04 Multi-layer gate stack

Publications (2)

Publication Number Publication Date
JP2006509375A true JP2006509375A (ja) 2006-03-16
JP2006509375A5 JP2006509375A5 (ja) 2007-01-25

Family

ID=32468458

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004559293A Pending JP2006509375A (ja) 2002-12-06 2003-12-04 多層ゲートスタック

Country Status (5)

Country Link
US (1) US7229929B2 (ja)
JP (1) JP2006509375A (ja)
KR (1) KR20050085415A (ja)
AU (1) AU2003300819A1 (ja)
WO (1) WO2004053936A2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
US20040217006A1 (en) * 2003-03-18 2004-11-04 Small Robert J. Residue removers for electrohydrodynamic cleaning of semiconductors
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US7351663B1 (en) * 2004-06-25 2008-04-01 Cypress Semiconductor Corporation Removing whisker defects
KR100753138B1 (ko) * 2006-09-29 2007-08-30 주식회사 하이닉스반도체 반도체 소자 제조방법
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
JP2008218867A (ja) * 2007-03-07 2008-09-18 Elpida Memory Inc 半導体装置の製造方法
US7951728B2 (en) * 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US9406530B2 (en) 2014-03-27 2016-08-02 International Business Machines Corporation Techniques for fabricating reduced-line-edge-roughness trenches for aspect ratio trapping
US10204960B2 (en) * 2015-09-17 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming polysilicon gate structure in image sensor device
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US9865473B1 (en) * 2016-11-15 2018-01-09 Globalfoundries Inc. Methods of forming semiconductor devices using semi-bidirectional patterning and islands

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001051424A (ja) * 1999-06-02 2001-02-23 Semiconductor Leading Edge Technologies Inc 微細パターン形成方法
JP2002222868A (ja) * 2001-01-29 2002-08-09 Fujitsu Ltd 半導体装置及びその製造方法
JP2006505141A (ja) * 2002-10-31 2006-02-09 アプライド マテリアルズ インコーポレイテッド シリコン含有誘電物質をエッチングする方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW320749B (ja) * 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
JP3902835B2 (ja) * 1997-06-27 2007-04-11 東京応化工業株式会社 ポジ型ホトレジスト組成物
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6107135A (en) * 1998-02-11 2000-08-22 Kabushiki Kaisha Toshiba Method of making a semiconductor memory device having a buried plate electrode
US6342452B1 (en) * 1999-05-20 2002-01-29 International Business Machines Corporation Method of fabricating a Si3N4/polycide structure using a dielectric sacrificial layer as a mask
US6740566B2 (en) * 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6258677B1 (en) * 1999-10-01 2001-07-10 Chartered Seminconductor Manufacturing Ltd. Method of fabricating wedge isolation transistors
US6897120B2 (en) * 2001-01-03 2005-05-24 Micron Technology, Inc. Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
US6624068B2 (en) * 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001051424A (ja) * 1999-06-02 2001-02-23 Semiconductor Leading Edge Technologies Inc 微細パターン形成方法
JP2002222868A (ja) * 2001-01-29 2002-08-09 Fujitsu Ltd 半導体装置及びその製造方法
JP2006505141A (ja) * 2002-10-31 2006-02-09 アプライド マテリアルズ インコーポレイテッド シリコン含有誘電物質をエッチングする方法

Also Published As

Publication number Publication date
WO2004053936A3 (en) 2005-03-17
US20040110387A1 (en) 2004-06-10
KR20050085415A (ko) 2005-08-29
US7229929B2 (en) 2007-06-12
AU2003300819A1 (en) 2004-06-30
WO2004053936A2 (en) 2004-06-24
AU2003300819A8 (en) 2004-06-30

Similar Documents

Publication Publication Date Title
US7396773B1 (en) Method for cleaning a gate stack
US6667246B2 (en) Wet-etching method and method for manufacturing semiconductor device
US7256137B2 (en) Method of forming contact plug on silicide structure
JPH0621018A (ja) ドライエッチング方法
US5164331A (en) Method of forming and etching titanium-tungsten interconnects
US6878646B1 (en) Method to control critical dimension of a hard masked pattern
US7229929B2 (en) Multi-layer gate stack
KR100954107B1 (ko) 반도체 소자의 제조방법
US6586293B1 (en) Semiconductor device and method of manufacturing the same
US6943126B1 (en) Deuterium incorporated nitride
JP2004006902A (ja) 半導体デバイスおよびその製造方法
US8445381B2 (en) Oxide-nitride stack gate dielectric
US7189652B1 (en) Selective oxidation of gate stack
KR100616498B1 (ko) 폴리/텅스텐 게이트 전극을 갖는 반도체 소자의 제조방법
US6680516B1 (en) Controlled thickness gate stack
JP2003234325A (ja) 半導体装置の製造方法
CN111106158B (zh) 半导体结构及其形成方法
US6803321B1 (en) Nitride spacer formation
US6828187B1 (en) Method for uniform reactive ion etching of dual pre-doped polysilicon regions
JP4082280B2 (ja) 半導体装置およびその製造方法
KR20060122578A (ko) 반도체 메모리 소자의 하드 마스크 형성방법
KR100596899B1 (ko) 반도체 소자의 제조 방법
US6555397B1 (en) Dry isotropic removal of inorganic anti-reflective coating after poly gate etching
US7256083B1 (en) Nitride layer on a gate stack
JP2008135765A (ja) 半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061121

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090526

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090526

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091222

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100615