JP2005294282A - ポストcmp保管及び洗浄用の界面活性剤 - Google Patents

ポストcmp保管及び洗浄用の界面活性剤 Download PDF

Info

Publication number
JP2005294282A
JP2005294282A JP2003360862A JP2003360862A JP2005294282A JP 2005294282 A JP2005294282 A JP 2005294282A JP 2003360862 A JP2003360862 A JP 2003360862A JP 2003360862 A JP2003360862 A JP 2003360862A JP 2005294282 A JP2005294282 A JP 2005294282A
Authority
JP
Japan
Prior art keywords
cmp
wafer
semiconductor substrate
platen
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003360862A
Other languages
English (en)
Inventor
Vincent Korthuis
コーテュイス ヴィンセント
Mona M Eissa
エム、エイッサ モナ
Gregory B Shinn
ビー、シン グレゴリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to JP2003360862A priority Critical patent/JP2005294282A/ja
Publication of JP2005294282A publication Critical patent/JP2005294282A/ja
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

【課題】半導体基板(100)の化学的機械研磨(CMP)、半導体基板(100)のポストCMP保管及び半導体基板(100)のポストCMP洗浄の方法と組成物が開示される。
【解決手段】前記方法と組成物は界面活性剤と、ある場合には不活性化剤の使用を特徴とする。この方法及び組成物は、疎水性表面を含む半導体基板(100)の研磨、保管及び洗浄に特に適する。
【選択図】図3A

Description

本発明は一般に、シリコンウェハなどの半導体基板上の集積回路の調製に、より詳細には、化学的機械研磨(CMP)、洗浄前のポストCMP保管、及びポストCMP洗浄の改良された方法に関する。
最新の集積回路の中心となる半導体技術は1世紀に渡って開発され続けている。19世紀後半に、半導体セレンの特殊な性質が最初に観察され確認された。半導体物理学の分野は急速に発達し、最初のトランジスタが1930年代に提案された。しかし、1940年代後半になるまで機能的な点接触トランジスタは作製されなかった。個別の部分を用いるのではなくモノリシック半導体基板の多数の回路素子を用いる集積回路は、1950年代後半に、ジャック・キルビーによりテキサス・インスツルメント社で最初に開発された。
1950年代後半以来、集積回路技術は急速に進化し、事実上、集積回路が使用されるあらゆる産業と分野に大変革を起こした。今日の集積回路は数十万あるいは数百万のトランジスタと非常に複雑な多層アーキテクチャを用いることが多い。一般にエレクトロニクスの、特に集積回路の急増は、大部分、回路機能を向上させながら、同時にデバイスのコストと大きさを低下させることができることから生じた。これらの改善を促進した重要なものは、半導体加工技術、半導体基板上に回路素子、例えばトランジスタ、抵抗器及びコンデンサ−、並びに個々の回路素子間に必要な導電性の配線を作製するのに用いられる様々な技術の進歩であった。材料、装置及びプロセスの改良により、動作が速く、必要な電力が少なく、小型化された益々複雑な回路が可能になった。
集積回路は通常、結晶性シリコンウェハ表面上に作製されるが、ガリウムヒ素及びゲルマニウムなどの他の半導体もまた用いられる。個々の回路素子はウェハ表面内及びその上に形作される。次に、適当な回路素子間の電気伝導、並びに他の回路素子間での電気絶縁が、適切にパターン化された導体と絶縁体の交互層を用いて作り上げられる。回路素子及びそれらの配線は、フォトリソグラフィ、薄膜堆積、選択エッチング及びイオン注入、並びに様々な洗浄プロセスを含む一連の加工ステップを用いて形成される。
益々複雑になる集積回路では益々多くの回路素子が用いられ、このために、回路素子間のより多くの電気伝導経路とこれらの経路を実現するためのより多数の導体−絶縁体の層の両方が必要になる。いくつかの理由でこれには問題のあることがわかった。第1に、より長い配線経路は抵抗と電気容量の増加を意味し、RC遅延時間を増大させることによって回路の速度を低下させるだけでなく、抵抗による電力損失を増加させる。第2に、層数が増加することにより、一連の層と層の位置合わせが一層困難になる。この後者の問題は、全体的及び局所的な平坦性がない層ではさらに深刻である。歴史的に、半導体産業で層の平坦性を向上させるために利用できる技術はかなり限られたものであった。
最近まで、集積回路加工においてアルミニウムがよく使用される配線導体であった。アルミニウム薄膜を堆積させる技術はよく確立されており、三塩化アルミニウムはいくらか揮発性があるので、適当なフォトリソグラフィステップの後で、アルミニウムを塩素プラズマで効果的にエッチングしてパターン化されたアルミニウム膜を形成することができる。しかし、アルミニウム配線にはいくつかの望ましくない性質もある。第1に、アルミニウムは特に良い導体ではなく、その抵抗率は他の多くの金属よりかなり大きい。第2に、アルミニウムは、電子の流れによる導体の物理的移動であるエレクトロマイグレーションを起こしやすい。粒界でのエレクトロマイグレーションにより、導体に不連続が生じ回路の信頼性が低下する。
半導体産業では、回路素子間の配線を作り上げるためによく使用される電気導体が、アルミニウムから銅へ推移している。銅はアルミニウムよりかなり大きな伝導性をもち、本来エレクトロマイグレーションをより起こしにくい性質である。銅のこれらの性質は長い間知られていたが、銅を選択的にエッチングするかあるいは別の仕方で除去する、受け入れられる方法がないために、その使用は限定されていた。銅は、アルミニウムと異なり、プラズマエッチングを受けにくいからである。このように、銅による配線形成(metalization)への動きにおける重大な制約は、ウェハ表面の銅をエッチング、又は他の仕方で除去する技能である。
銅による配線形成への移行は、半導体加工の比較的新しい技術である化学的機械研磨(CMP)の発達により促されている。CMPは銅を除去しパターン化された銅の膜を形作る方法を提供するだけでなく、複雑な集積回路アーキテクチャの局所的及び全体的平坦性に対する増大する要求にも対応する。
今日、CMPはほとんど全ての最新の集積回路の製造における必須のステップである。1997年版米国半導体技術ロードマップ(1997 National Technology Roadmap for Semiconductors)によれば、2004年に典型的な論理デバイスでは、7回の層間(inner−layer)絶縁膜(ILD)CMPステップ、7回の金属CMPステップ、また1回のシャロートレンチアイソレーション(STI)CMPステップが行われるであろう。簡単に言えば、CMPは、急速に、集積回路の形成における半導体加工の中心的局面になりつつある。
CMPは、構造形態の選択的除去により平坦な構造を作製する方法である。CMPプロセスには、半導体ウェハ上での研磨粒子スラリと研磨パッドの化学的及び機械的作用を組み合わせて、ウェハ表面上の材料をコントロールして除去することが含まれる。CMPで用いられるスラリは、平坦化しようとする膜の種類によって分類するのが最もよい。半導体の製造において、CMPプロセスは、酸化ケイ素、タングステン、銅、タンタル及びチタンからなる膜に対して最も広く用いられる。例えば、銅の膜のCMPでは、銅イオンの溶解性を高める錯化剤に基づくスラリがよく使用される。
配線形成層の研磨に加えて、CMP加工には一般に、バリア層及び誘電体層の研磨も含まれる。誘電体層は、伝導性金属層間に電気絶縁層を形成するシリカなどの酸化物材料からなることが多い。バリア層は、一方の層が他方の層を汚染すること、またその逆を防ぐように、2つの層の間に配置される層である。銅配線形成スキームではしばしば、銅と誘電体層の間に、これらの層の間の相互汚染をできるだけ少なくするように、タンタル又はタンタルリッチ合金などのバリア金属が用いられる。統合されたCMP加工技術では、前記の層、例えば銅からなる層、その下のタンタル又はTa合金からなる層、その下の酸化物からなる層−のような次々の層を研磨及び平坦化できるべきである。
CMPプロセスの後で、ウェハは通常、集積回路の作製を続ける前に微粒子及び分子状汚染物質を除去するために、ポストCMP洗浄プロセスを経る。1個ずつでなくバッチ処理されるウェハでは、CMPプロセスの後でポストCMP洗浄プロセスの前に、保管技術が用いられる。ウェハの保管は、それらを水などの適当な液体で満たされたカセットに入れることからなることが多い。
様々な理由で、現在利用できるCMP技術は、最も望ましいものではない。第1に、CMPプロセスには、ウェハ表面から取り除くことが困難であると立証しうる、小さな研磨粒子の使用が含まれる。スラリ粒子はCMP中、大事な役割を果たすが、それらはCMPプロセスの後、微粒子欠陥となる。したがって、スラリ粒子の除去効率を向上させる技術が望まれる。さらに、常に効果的にポストCMP洗浄中に除去されるとは限らない分子状汚染物質が、CMPプロセス中に導入されうる。ロットで処理されるウェハでは、ウェハ保管プロセスがさらなる問題を持ち込みうる。保管溶液から取り出されたウェハには、スジ(streaking)がはっきりと見られることがあり、汚染物質がウェハ表面の特定の部分に予め濃縮されたように見えることが認められている。さらに、露出された銅の表面は腐蝕されやすく、その結果、ポストCMP保管及び洗浄プロセス中に望ましくないエッチングが起こる。
本発明の好ましい実施形態には、半導体基板の化学的機械研磨(CMP)、CMPの後で洗浄プロセスの前の半導体基板の保管(「ポストCMP保管」)並びに次の洗浄プロセス(「ポストCMP洗浄」)の改良された方法及び組成物が含まれる。
本発明の一実施形態には、好ましくは疎水性表面が露出されている場合に、CMPプロセス中に界面活性剤を用いるCMPの方法が含まれる。任意選択で、この方法には、CMPプロセス中の不活性化剤(passivation agent)の使用が含まれる。
本発明の別の好ましい実施形態には、ポストCMP保管液に界面活性剤を用いる、半導体基板保管方法が含まれる。任意選択で、不活性化剤はこのポストCMP保管液にも存在する。本発明のさらに別の好ましい実施形態には、水、界面活性剤及び不活性化剤を含むポストCMP保管液組成物が含まれる。
本発明のさらに別の好ましい実施形態には、好ましくは液体中でまた機械的な力を加えて、界面活性剤を用いる、半導体基板のポストCMP洗浄方法が含まれる。本発明のさらに別の好ましい実施形態には、水、界面活性剤及び不活性化剤を含むポストCMP洗浄液組成物が含まれる。
本発明のさらに詳細な説明のために、添付図を参照する。
集積回路の製造では、ウェハ表面の平坦性と品質が極めて重要である。超高密度集積回路を製造するために必要とされる平坦度を実現するために、CMPプロセスが用いられる。一般に、CMPには、半導体ウェハを、化学反応性研磨スラリで濡れた、動いている研磨表面に押しつけることが含まれる。通常のスラリは酸性又は塩基性のいずれかであり、一般にフュームドもしくはコロイド状のアルミナ、シリカ、酸化ジルコニウム、酸化マグネシウム、又は酸化セリウムからなる研磨粒子のいずれかを含んでいる。前記研磨表面は通常、ポリウレタンなどの比較的柔らかく多孔性の材料からなる平らなパッドである。
本明細書では、用語「半導体基板」は、その上に集積回路が現に作製されているか、将来されるかあるいはすでにされた半導体材料からなる基板を表す。通常、基板は薄い円形のウェハの形態である。シリコン及びガリウムヒ素などの様々な半導体材料が、半導体加工で用いられる。さらに、他の半導体材料が存在し、本明細書に開示される方法及び組成物でうまく加工されうる。したがって、本発明の範囲は限定されないが、本発明の好ましい実施形態ではシリコンからなる半導体基板が含まれる。より好ましくは、半導体基板は単結晶シリコンウェハである。さらに、用語「半導体基板」にはまた、その上に他の材料が堆積した半導体からなる基板も含まれる。
本明細書では、用語「表面材料」は、半導体基板の表面にある材料を表す。集積回路の加工には、半導体基板にパターン化された薄膜を堆積させることが含まれるので、半導体基板には2種以上の表面材料が存在することが多いであろう。したがって、本明細書では、「表面材料」という場合、単一の表面材料を意味する意図はなく、1種又は複数の特定の表面材料を表す。
半導体加工業界は、バリア材料により、歩留りが大きく信頼性が高い銅配線の作製が容易になると一般に結論づけた。本明細書では、用語「バリア層」は、一方の層が他方の層を汚染すること、またその逆を防ぐように、2つの層の間に配置される層である。半導体基板の表面に如何なる方法でバリア層を堆積させてもよく、またそれは1つの層を、それがなければ隣接する層から保護する、あるいはこの逆の他の如何なる部分に存在してもよい。半導体産業で一般に使用されるバリア層には、例えば、窒化タンタル、窒化チタン、チタン/タングステン、タングステン、タンタル、これらの複合材などが含まれる。好ましくは、バリア層は、銅配線が用いられる場合、窒化タンタルからなる。バリア層は適切であれば任意の厚さでよい。好ましくは、バリア層の厚さは、数十オングストロームから数百オングストロームである。
一連の集積回路加工中、例えば、CMP加工中に銅表面とバリア金属表面の両方が露出しうる。露出した金属のガルバーニ電位の差により、ある種の腐食が生じ、より酸化されやすい金属がウェハ表面からエッチングされうる。この現象はガルバーニ腐食としばしば呼ばれる。そのガルバーニ電位のために、銅はガルバーニ腐食を特に受けやすい。
本発明の好ましい実施形態のあるものは、半導体基板上に露出された疎水性表面を含むプロセスに適用される。疎水性表面は通常、水などの極性溶剤と親和的に相互作用しない非極性表面である。疎水性表面はこれらの極性溶剤によっては効果的に濡れないので、疎水性表面は、極性溶剤が使用された場合、親水性表面ほどには効果的に洗浄されない。さらに、極性溶剤に曝された疎水性表面は滴を形成しやすい、すなわち極性溶剤が表面を濡らすのでなく表面に滴を形成する。滴が蒸発するにつれて、疎水性表面の小さなスポットに残留汚染物質が濃縮されて、汚染レベルの高い局所的なしみ又はスポットを生じる。
疎水性表面は、半導体基板上の集積回路加工中に頻繁に生じる。例えば、有機シリカガラス(OSG)などのいくつかのlow−k誘電体膜は疎水性である。同様に、本来は親水性の表面に適用されるいくつかの不活性化剤により、表面は疎水性になる。例えば、ベンゾトリアゾールで不活性化された銅表面は疎水性表面となる。疎水性表面を、適当な液体材料とのそれらの接触角に基づいて評価することができる。本発明の範囲を限定するわけではないが、本明細書では、疎水性材料又は表面は、水との接触角が5度より大きい材料又は表面を表す。
様々な誘電体材料が、半導体加工中に用いられる。一般に、このような誘電体材料はシリカ含有材料からなる。本明細書では、用語「シリカ」には、純粋なシリカ、OSG又はドープされたシリカなどのあらゆる種類の変性シリカ並びにシリカを含む他の材料が含まれる。
本発明の好ましい実施形態では、1種又は複数の界面活性剤が用いられる。界面活性剤は典型的には、極性溶剤により疎水性表面が濡れることを助ける、極性部分と非極性部分の両方をもつ分子である。イオン性又は非イオン性として、界面活性剤を特徴づけることができる。カチオン性又はアニオン性として、イオン性界面活性剤をさらに特徴づけることができる。当分野の技術者には明白となるであろうように、本発明の実施形態には様々な界面活性剤が適切でありうる。したがって、本発明の範囲は限定されないが、本発明の好ましい実施形態ではアニオン性界面活性剤が用いられる。より好ましくは、アニオン性界面活性剤は、Coppeready(登録商標)Post Clean Surfactant(Ashland Chemical)である。その特性に応じて、そのままで、あるいは液体中の1つの成分として、本発明で用いられる界面活性剤を用いることができる。本発明の好ましい実施形態では、CMPプロセス、ポストCMP保管プロセス、又はポストCMP洗浄プロセス中に用いられる水溶液の1つの成分として界面活性剤が用いられる。
本発明の好ましい実施形態のあるものでは、露出された表面材料を被覆するために不活性化剤が用いられる。数多くの不活性化剤が本発明に適すると確認されるであろう。したがって、本発明の範囲を限定するわけではないが、適切な不活性化剤には、ベンゾトリアゾール(BTA)、ヒドロキノン、ピロガロール、没食子酸及びこれらの組合せが含まれる。不活性化剤はそのまま用いてもよいし適当な液体中に含ませてもよい。好ましくは、不活性化剤はBTA単独あるいはBTA水溶液であり、露出した表面材料には、CMPプロセス後に露出した銅表面が含まれる。
CMPプロセス
図1は、半導体ウェハ20研磨用の単一プラテンCMP装置10を示している。単一プラテンCMP装置10は、ウェハキャリア30及び研磨パッド50を支えるプラテン40を備える。好ましくは、単一プラテンCMP装置10は、ウェハキャリア30に可変で下向きの力を加えることができ、ウェハキャリア30とプラテン40を可変で独立した速度で回転させることができ、またスラリ及び/又は他の材料を半導体ウェハ20の表面と研磨パッド50の間につけることができる制御装置を含む。運転中、好ましくは、望ましい研磨圧とするために、予め選択された下向きの力がウェハキャリア30に加えられる。運転中にはまた、ウェハキャリア30は、好ましくは、望みの速度で回転し、同時にプラテン40は、好ましくは、望みの速度で反対方向に回転する。好ましくは、pHが約3と約11の間で、平均直径が約20と約200ナノメートル(nm)の間のスラリ粒子からなるスラリが、研磨中に存在する。より好ましくは、スラリ粒子はアルミナからなる。ウェハキャリア30の下向きの力、ウェハキャリア30とプラテン40及び研磨パッド50の回転、並びにスラリの化学的機械的効果の作用が合わさって半導体ウェハ20の表面を研磨する。
本発明の好ましい実施形態によれば、CMP装置はマルチプラテンCMP装置である。マルチプラテンCMP装置は複数の個別のプラテンとそれらに付随するウェハキャリア及び研磨パッドを備える。マルチプラテンCMP装置により、並行してあるいは連続して多数のウェハを加工できる。例えば、3プラテンCMP装置を用いれば、単一プラテンCMP装置の3倍の処理量で、3枚のウェハを並行して加工することができるであろう。このような構成では通常、3つのプラテンのそれぞれで、同じスラリ、下向きの力及び回転速度が用いられる。別法として、各ウェハに複数のCMPプロセスを実施しなければならない場合、マルチプラテン装置を用いて連続してウェハを加工することができる。例えば、3プラテンCMP装置を用いて連続して3枚のウェハを加工することができ、第1のプラテンのウェハは第1のCMPプロセスに、第2のプラテンのウェハは第2のCMPプロセスに、第3のプラテンのウェハは第3のCMPプロセスにかける。このような構成では、3つのプラテンのそれぞれで、個々のCMPプロセスの性質に応じて、異なるスラリ、下向きの力及び/又は回転速度が用いられることが多いであろう。本発明の好ましい実施形態では、3プラテンCMPプロセスが用いられる。
何らかの適当な方法によりそれぞれのCMPプロセスの継続時間を決めることができる。例えば、除去速度と層の厚さを参照して、それぞれのCMPプロセスの継続時間を計算してもよい。別法として、何らかの適当な終点検出技術を用いて、それぞれのCMPプロセスの継続時間を決めてもよい。例えば、終点検出には、膜厚がモニタされる渦電流、あるいはエネルギー源がウェハ上に入射しウェハの反射率が測定される光学的測定を含めることができる。表面層が時間と共にウェハから除去されて下にある層が露出されるにつれて、ウェハの渦電流又は反射率は、測定できる程度の変化をするであろう。渦電流又は表面反射率のこの変化の検出に基づいて、研磨プロセスを終了させることができる。本発明の好ましい実施形態では、第1のプラテンで膜厚をモニタリングし、バリアが露出する前に停止させる。第2のプラテンでは、下向きの力が小さい研磨プロセスが用いられ、バリアを露出させ、ウェハの反射率のモニタリングが終点を知らせ、その後で残りの銅を除去する時限的な研磨が行われる。第3のプラテンでは、時限的な研磨により誘電体の表面からバリア材料が除去される。
平坦化を実現するためには、CMPで膜の凸の部分を凹の部分より実質的に速くエッチングし研磨しなければならない。銅CMPには、凸部分で研磨されやすいが凹部分ではエッチングされにくい薄い不活性層を作り出すことが含まれる。不活性層は自然酸化物膜、例えば、CuO、あるいは図2に示されるようなベンゾトリアゾール(BTA)などの別個の試薬を添加して生成させた非自然膜のいずれかでありうる。BTAなどの複素環窒素系化合物は銅の表面で有機金属錯体を形成する。これらの化学薬品の窒素原子の銅表面での結合能力により、疎水性不活性膜の形成が容易になる。
好ましい一実施形態では、本発明はCMPプロセスで界面活性剤を用いる方法を対象とする。CMPプロセスを、1つには、除去される表面材料と下側にある層の両方に基づいて特徴づけることができる。表面と下側層の両方が何であるかということは、CMPプロセスは下側層材料よりも表面層材料に高いエッチング選択性を表すのが理想であるという理由で、CMPプロセスを定めるのに重要である。別の言い方をすると、一旦下側層の材料に達すると、所定のCMPステップが本質的には終了するように、CMPプロセスでは、表面層材料のエッチング速度は下側層材料よりずっと大きいことが望ましい。明らかに、CMPプロセスの処方は、表面層材料だけでなく下側層材料にも目を向けて考案されるべきであるという理由で、多数のCMPプロセスが存在する。本発明の方法は広範なCMP用途に適用できる。したがって、本発明の範囲は限定されないが、本明細書で開示される好ましい実施形態では、最新の集積回路の共通設計構造、すなわち銅を含む表面材料、その下のタンタルを含むバリア材料、その下のシリカを含む絶縁材料を有する3レベルスタックを研磨するための3プラテンCMPプロセスが含まれる。
図3A、3B、3C及び3Dは、複数ステップCMPプロセスの様々な段階での半導体基板の横断面を示している。図3Aは、表面層110、バリア層120、及び誘電体層130を備える、CMP前の半導体基板100の表面構造形態を示している。好ましくは、表面層110は銅を含み、バリア層120はタンタルを含み、また誘電体層130は有機シリカガラス(OSG)を含む。一連の加工ステップにより半導体表面にこのようなアーキテクチャを形成することができる。例えば、半導体基板100への一様な堆積(blanket deposition)によるパターン化されていない層として、誘電体層130を形成することができる。誘電体層130は、例えば、半導体基板100上でのテトラエチルオルトシリケート(TEOS)の分解により、あるいはガラスプロセスのスピンにより形成されたシリカであってもよい。好ましくは、誘電体層130は有機シリカガラス(OSG)などのlow−k誘電体である。次に、標準的なフォトリソグラフィ技術を用いて、誘電体層130をパターン化しエッチングして、半導体基板100の選ばれた部分が露出したコンタクトホールを形成することができる。誘電体層130の堆積そしてパターン化の後、次に、半導体基板100の誘電体層130の下にある層と接触するようにコンタクトホール内も含めて、誘電体層130上に表面形状に沿ってバリア層120を堆積させるであろう。バリア層120となるように堆積させる材料は一般に、表面層110をなす材料に応じて決まる。例えば、表面層110がタングステン(W)を含むとき、バリア層120は通常チタン(Ti)、例えばTi、窒化チタン(TiN)、又はTi/TiNスタックを含む。しかし、表面層110が銅(Cu)を含むとき、バリア層120は通常タンタル(Ta)、例えば、Ta、窒化タンタル(TaN)又はTa/TaNスタックを含む。好ましい一実施形態によれば、表面層110は銅を含み、バリア層120はTa又はTaN又は2層Ta/TaNを含む。
図3B、3C及び3Dは、本発明の好ましい実施形態のプロセスの様々な段階の、図3Aの半導体基板100の表面の横断面図を示している。銅、その下のタンタルリッチなバリア層、その下の有機シリカガラス(OSG)からなる、図3Aに示される表面構造形態をもつシリコンウェハに、銅及びバリア金属を除去するための3プラテンCMPプロセスが実施された。図3Bは、多量の銅が除去される、第1プラテンでのCMP後の、図3Aの半導体基板100の横断面を示している。図3Cは、残りの銅が除去される、第2プラテンでのCMP後の、図3Bの半導体基板100の横断面を示している。図3Dは、バリア層120が除去された、第3プラテンでのCMP後の、図3Cの半導体基板100の横断面を示している。
図3Bに示されるように、3ステップCMPプロセスの第1のCMPプロセスには、第1のプラテン上で表面層110を大部分除去することが含まれる。第1のCMPプロセスでは、半導体基板100は、3プラテンCMP装置の第1のプラテンに付随する第1のウェハキャリアに装着される。最初に制御装置により、研磨の前にパッドの表面を洗浄するために、プラテン上にある研磨パッドに、高圧水スプレーと界面活性剤がスプレーされる。次に、制御装置により、ディスペンサが研磨パッドの表面に第1のスラリを供給する。好ましくは、第1のスラリは、約50と約500ミリリットル/分(ml/min)の間の流量で供給される。より好ましくは、スラリは、200mmのウェハでは約200ml/minの流量で供給される。第1のスラリは、タンタルを含むバリア層上の、銅を含む表面層を研磨するのに適する何らかのスラリである。好ましくは、第1のスラリの銅とタンタルに対する選択比は、10対1を超える。銅CMPプロセスに適する典型的なスラリは、20と200nmの間の平均粒径をもつアルミナ砥粒、酸化剤及び腐食防止剤を含む。好ましくは、酸化剤は過酸化水素(H)であり、腐食防止剤はベンゾトリアゾールである。
第1のCMPステップ中、制御装置により第1のウェハキャリアもまた回転する。好ましくは、第1ウェハキャリアは、約18と約150回転/分(rpm)の間の速度で回転する。同時に、制御装置により、第1プラテンもまた第1ウェハキャリアに対して旋回する。好ましくは、第1のプラテンは、約20と約150rpmの間の速度で旋回する。制御装置により、研磨圧もまたプラテンとウェハキャリアの間に加えられる。好ましくは、研磨圧は、約6900と約41000Pa(約1と約6ポンド/平方インチ(psi))の間である。
スラリの化学的エッチング効果と圧力及び回転の機械的研磨効果が合わさって、半導体表面100から表面材料が除去される。第1のCMP研磨プロセスは、バリア層120を研磨することなく、表面層110の大部分を除去するために用いられるので、最適な第1のCMPステップでは、除去速度が大きいであろう。好ましくは、除去速度は、約1,000と約10,000Å/minの間である。第1のCMPプロセスは、表面層110の大部分が除去されるまで続き、そしてバリア層120が露出するわずかに手前で終わる。結果的に、図3に示されるように、比較的薄い表面層110がバリア層120の上に残る。
第1のCMPプロセスの後、ウェハは、第2のウェハキャリアに移される前に、Coppeready(登録商標)Post Clean Surfactant(Ashland)でリンスされる。本発明の範囲を限定するわけではないが、このようなリンスはいくつかの重要な目的に役立つ。第1に、これらのリンスは、前のCMPプロセス中に導入されたか又は作り出された汚染物質及び粒子を取り除く。さらに、銅を研磨するために用いられるCMPスラリは、下側のバリア材料を研磨するために用いられるCMPスラリと根本的に異なり、化学的に共存しえないことさえありうる。こうして、リンス処理プロセスは、1つの研磨パッドの汚染物質の、別の研磨パッドへの付着を少なくする。ウェハのリンスに加えて、1つ又は複数の様々な隣接するプラテン(特に第2のプラテン及び/又は第3のプラテン)もまたウェハと共にリンスされるであろう。ウェハ、第2のプラテン、及び/又は第3のプラテンのリンス処理により、プラテン間の相互汚染がかなり少なくなる。
図3Cを参照すると、次のステップは、バリア層120上に残っている表面層110が除去される第2のCMPプロセスである。第2のCMPプロセスでは、半導体基板100は、通常の3プラテンCMP装置の第2プラテンに付随する第2のウェハキャリアに装着される。第2のCMPプロセス中、第2のウェハキャリアとプラテンに対する下向きの力による圧力と回転速度は、除去速度を下げるために、第1のウェハキャリアと第1のプラテンに比べて小さくする。最初に、制御装置により、研磨の前にパッドの表面を洗浄するために、プラテン上にある研磨パッドに、高圧水スプレーと界面活性剤がスプレーされる。好ましくは、界面活性剤の流量は、10と100ml/minの間であり、脱イオン水高圧スプレーは、1〜10L/分である。好ましくは、第1のスラリが、約50と約500ミリリットル/分(ml/min)の間の流量で再び供給される。第2のCMPプロセス中、制御装置により、第2のウェハキャリアは回転する。好ましくは、第2のウェハキャリアは、約20と約120rpmの間の速度で回転し、約6900から約21000Pa(約1から約3psi)の研磨圧を加える。同時に、制御装置により、第2のプラテンもまた第2ウェハキャリアに対して旋回する。好ましくは、第2のプラテンは、約20と約150rpmの間の速度で旋回する。第2のCMPプロセスは、バリア層120が露出するまで続く。
図3Cに示されるように、第2プラテン上でのCMPプロセスにより、バリア層120までの残りの銅が除去される。図3Bの表面層110から削られた表面材料のために、第2のプラテンでの研磨後には、図3Cに示されるように、表面層110とバリア層120の両方の材料が露出している。光学的終点信号を受け取った後、ウェハ表面の研磨を一定時間続けながら、次にBTAが使用される。このとき、研磨されるウェハに加える力を小さくし、パッドとウェハの表面をBTAで十分に浸す。
図3Dを参照すると、次のステップは、新たに露出したバリア層120を除去する第3のCMPプロセスである。第3のCMPプロセスでは、半導体基板100は、3プラテンCMP装置の第3プラテンに付随する第3のウェハキャリアに装着される。第3のCMPプロセス中、バリア層120が除去されて下側の誘電体層130が露出する。最初に、制御装置により、パッドの表面を洗浄するために、プラテン上にある研磨パッドに、高圧水スプレーと界面活性剤がスプレーされる。好ましくは、界面活性剤の流量は、10と100ml/minの間であり、脱イオン水高圧スプレーは、1〜10L/分である。次に制御装置により、ディスペンサが研磨パッドの表面に第2のスラリを供給する。好ましくは、第2のスラリは、約50と約500ミリリットル/分(ml/min)の間の流量で供給される。好ましくは、第2のスラリは、シリカ上の窒化タンタルを含むバリア層を研磨するのに適する何らかのスラリである。好ましくは、第2のスラリのタンタルとシリカの選択比は10対1より大きい。
第3のCMPプロセス中、制御装置により、第3のウェハキャリアがやはり回転する。好ましくは、第3のウェハキャリアは、約18と約36回転/分(rpm)の間の速度で回転し、約21000Paから約41000(約3から約6psi)の研磨圧を加える。同時に、制御装置により、第3のプラテンもまた第3ウェハキャリアに対して旋回する。好ましくは、第3のプラテンは、約20と約150rpmの間の速度で旋回する。第3のCMPプロセスは、図3Dに示されるように、バリア層120が除去されて、誘電体層130が露出するまで続く。
第3のCMPプロセスの後、ウェハが1から30sの間の時間、パッドの表面と接触している状態で、ウェハは50〜200ml/minの間の流量のBTAでリンスされる。次に、ポストCMP洗浄プロセスの前の保管に移行する前に、ウェハは脱イオン水高圧スプレー及び界面活性剤でリンスされ、ここで水の流量は1L/minと10L/minの間であり、界面活性剤の流量は10ml/minと100ml/minの間である。
ポストCMP保管プロセスと組成物
しばしば、シリコンウェハなどの半導体基板は、多数のウェハを含むロットが一連の同じ処理ステップに従うバッチ法を用いて処理される。例えば、CMP加工の場合、ロット又はバッチのウェハは、そのロットをポストCMP洗浄処理する前に、全てのウェハについてCMPプロセスが完了するまで、1個ずつ加工されてもよい。このタイプのバッチ処理では、プロセス間でウェハを保管する必要が生じる。
BTA及び関連する化学薬品はCMP加工ステップ中、有用であるが、ポストCMP洗浄ステップ中に問題を発生させる。一般の有機化学薬品と同様、BTAは疎水性であり、またBTAを用いて形成された不活性層は疎水性である。結果的に、銅CMP加工後のウェハ表面を洗浄するのに用いられる水溶液は、ウェハ表面を濡らすのに完全に有効である訳ではなく、その結果、ポストCMP保管及びポストCMP洗浄プロセス後に多数の望ましくない欠陥が存在する。
本発明の好ましい実施形態に記載される保管液は、半導体加工中に行われる如何なる保管作業にも適用できるであろう。したがって、本発明の範囲は限定されないが、本発明の好ましい実施形態では、ポストCMP保管中にこの保管液が使用される。好ましくは、保管溶液は、半導体基板上に疎水性表面が露出しているときに、ポストCMP保管中に使用される。好ましい一実施形態によれば、疎水性表面は、OSGからなるlow−k誘電体である。別の好ましい実施形態によれば、疎水性表面は不活性化銅表面である。
本発明の好ましい一実施形態によれば、1つの加工ステップを終え次のプロセスステップを待っているウェハなどの半導体基板は、1種又は複数の界面活性剤を含む水溶液内に置かれる。好ましくは、界面活性剤の濃度は約0.01重量パーセントと約10重量パーセントの間である。この保管には、露出表面がガルバーニ腐食などにより分解されやすい材料を含む場合、1種又は複数の不活性化剤をさらに含めてもよい。好ましくは、表面が銅表面材料を含む場合、不活性化剤はBTAであり、約0.002重量パーセントと約1重量パーセントの間の濃度で存在する。それは、BTA溶液と共に、界面活性剤溶液を10ppmと500ppmの間の濃度で含んでいてもよい。
ポストCMP洗浄処理と組成物
本発明の好ましい一実施形態に記載される洗浄液は、半導体加工中に行われる如何なる洗浄作業にも適用できる。したがって、本発明の範囲を限定するものではないが、本発明の好ましい実施形態では、ポストCMP洗浄中にこの洗浄液を使用する。好ましくは、洗浄液は、半導体基板上に疎水性表面が露出しているときに、ポストCMP洗浄中に使用される。好ましい一実施形態によれば、疎水性表面には、OSGからなるlow−k誘電体が含まれる。別の好ましい実施形態によれば、疎水性表面には不活性化銅表面が含まれる。
任意の順序で洗浄液の成分を混合してもよい。しかし、好ましくは、界面活性剤を、洗浄液の他の成分を含む水溶液に添加する。理想的には、得られた溶液は、0.1μmあるいはより細かいフィルタを用いて使用前に濾過する。本発明の好ましい実施形態で用いられる洗浄液は水溶液である。このような水溶液は、pHが7(純水のpH)未満で、1種又は複数の塩酸、酢酸又はクエン酸などの酸性成分を含む低pH溶液でありうる。別法として、このような水溶液はまた、pHが7を超え、1種又は複数のテトラメチルアンモニウムヒドロキシドなどの塩基性成分を含む高pH溶液であってもよい。このような水溶液はまた、pHがほぼ7であり、酸性又は塩基性成分のいずれも含まないか、あるいは酸性及び塩基性成分の両方を含み、その合わさった効果でほぼ7のpHを保持する中性pH溶液であってもよい。本発明の好ましい実施形態では、低pH洗浄液が用いられる。
本発明の洗浄プロセスでは、低pH洗浄液、高pH洗浄液及び/又は中性pH洗浄液を用いることができる。しかし、好ましくは、本明細書で用いられる洗浄プロセスには、2種以上の異なる洗浄液が用いられる一連の洗浄プロセスが含まれる。好ましくは、1種又は複数のこれらの洗浄液は、界面活性剤を含む。より好ましくは、洗浄プロセスには、低pH洗浄液で半導体基板上の表面材料を洗浄すること、次に高pH洗浄液で、その次にほぼ中性pHの洗浄液、好ましくは純水で洗浄することが含まれる。
集積回路加工の如何なる段階でも本発明の洗浄プロセスを実施することができる。しかし、本発明の好ましい実施形態では、CMPプロセスの後でポストCMP洗浄プロセスが用いられる。好ましくは、これらの洗浄プロセスは、半導体基板表面上の微粒子と分子状汚染物資の両方を取り除くのが容易であるような条件下で実施される。好ましくは、本発明の洗浄プロセスには、ウェハ表面からの汚染物質の除去を補助するために機械的力を加えることが含まれる。このタイプの機械的力には如何なるタイプの機械的攪拌も含まれる。したがって、本発明の範囲を限定するわけではないが、機械的攪拌の代表例には、半導体基板表面のバフがけ、ブラシがけ、それをこすること、又は振動させることが含まれる。好ましくは、機械的攪拌には洗浄中の音波又は超音波エネルギーが含まれる。本明細書では、用語「超音波」には、高周波数の機械的振動だけでなくシリコン表面に並行に走る方向性のあるビームにより発生する剪断力の印加も一般に含まれるメガソニック洗浄が含まれる。
洗浄プロセス中、温度は、半導体基板の表面材料からの汚染物質の除去が容易になるように保たれる。好ましくは、洗浄液の温度は、雰囲気温度近くと約50℃の間に保たれる。最も好ましくは、低pH洗浄液を用いる洗浄プロセスは、雰囲気温度近くで実施され、高pH及び中性pHの洗浄液を用いる洗浄プロセスは20と45℃の間で実施される。
洗浄プロセスの後、基板表面から残留液を除去するために、ウェハなどの半導体基板を、適切な乾燥ステップにかけることができる。1種又は複数の界面活性剤がウェハ表面に存在する、本発明の好ましい実施形態には、イソプロピルアルコール(IPA)乾燥ステップが含まれる。
本発明の好ましい実施形態が示され説明されたが、本発明の精神及び教示から逸脱することなく当分野の技術者によりそれらの変更がなされうる。本明細書に記載された実施形態は、単なる例示であり、限定しようとするものではない。本明細書に開示された本発明の、多くの変形形態と変更が可能であり、それらは本発明の範囲内にある。
したがって、保護の範囲は、前記の説明によっては限定されず、請求項によってのみ限定され、その範囲には請求項の主題の全ての均等物(equivalents)が含まれる。個々のまた全ての請求項は、本発明の実施形態として本明細書に組み入れられている。したがって、請求項は重ねての記載であり、本発明の好ましい実施形態への付加である。請求項の何らかの要素に関する「任意選択」という用語の使用は、その主題である要素が必要であるか、あるいは別法では必要でないことを表そうとするものである。いずれの選択肢も請求項の範囲内であると想定されている。背景技術における参考文献の検討は、あったとしても、それが本発明の先行技術であることを認めるものではなく、特に本出願の優先日以後の公表日をもつ如何なる参考文献もそうである。本明細書で引用された全ての特許、特許出願、及び刊行物の開示は、それらが、本明細書に記載されたものを補足する実例、手順又は他の詳細を提供する範囲で、ここで、参照により本明細書に組み込まれる。
以上の説明に関して更に以下の項を開示する。
(1)半導体基板を、水及び界面活性剤を含む液体に浸漬することを含む、半導体基板の保管方法。
(2)前記保管が、CMPプロセスの後であるが、付随するポストCMP洗浄プロセスの前に行われる(2)に記載の方法。
(3)前記液体が、ベンゾトリアゾール、ヒドロキノン、ピロガロール、没食子酸及びこれらの組合せからなる群から選択される不活性化剤をさらに含む(1)に記載の方法。
(4)不活性化剤がベンゾトリアゾールである(1)に記載の方法。
(5)前記液体中の界面活性剤濃度が約0.01と約10重量パーセントの間である(2)に記載の方法。
(6)a.水、
b.界面活性剤、及び
c.不活性化剤
を含むポストCMP保管液。
(7)a.化学的機械研磨工程を経た半導体基板を洗浄装置に入れること、及び
b.半導体基板の表面材料を、水、不活性化剤、及び界面活性剤を含む液体中で洗浄すること
を含む、半導体基板の表面材料から汚染物質を除去する方法。
(8)a.水、
b.界面活性剤、及び
c.不活性化剤
を含むポストCMP洗浄液。
(9)半導体基板(100)の化学的機械研磨(CMP)、半導体基板(100)のポストCMP保管及び半導体基板(100)のポストCMP洗浄の方法と組成物であって、前記方法と組成物は界面活性剤と、ある場合には不活性化剤の使用を特徴とし、この方法及び組成物は、疎水性表面を含む半導体基板(100)の研磨、保管及び洗浄に特に適する。
プラテン及びウェハキャリアを備えるCMP装置のウェハを示す図である。 ベンゾトリアゾールの化学構造を示す図である。 複数ステップCMPプロセスの様々な段階でのウェハの横断面を示す図である。 複数ステップCMPプロセスの様々な段階でのウェハの横断面を示す図である。 複数ステップCMPプロセスの様々な段階でのウェハの横断面を示す図である。 複数ステップCMPプロセスの様々な段階でのウェハの横断面を示す図である。
符号の説明
10 単一プラテンCMP装置
20 半導体ウェハ
30 ウェハキャリア
40 プラテン
50 研磨パッド
100 半導体基板
110 表面層
120 バリア層
130 誘電体層

Claims (4)

  1. 半導体基板を、水及び界面活性剤を含む液体に浸漬することを含む、半導体基板の保管方法。
  2. a.水、
    b.界面活性剤、及び
    c.不活性化剤
    を含むポストCMP保管液。
  3. a.化学的機械研磨工程を経た半導体基板を洗浄装置に入れること、及び
    b.半導体基板の表面材料を、水、不活性化剤、及び界面活性剤を含む液体中で洗浄すること
    を含む、半導体基板の表面材料から汚染物質を除去する方法。
  4. a.水、
    b.界面活性剤、及び
    c.不活性化剤
    を含むポストCMP洗浄液。
JP2003360862A 2003-10-21 2003-10-21 ポストcmp保管及び洗浄用の界面活性剤 Pending JP2005294282A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003360862A JP2005294282A (ja) 2003-10-21 2003-10-21 ポストcmp保管及び洗浄用の界面活性剤

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003360862A JP2005294282A (ja) 2003-10-21 2003-10-21 ポストcmp保管及び洗浄用の界面活性剤

Publications (1)

Publication Number Publication Date
JP2005294282A true JP2005294282A (ja) 2005-10-20

Family

ID=35326924

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003360862A Pending JP2005294282A (ja) 2003-10-21 2003-10-21 ポストcmp保管及び洗浄用の界面活性剤

Country Status (1)

Country Link
JP (1) JP2005294282A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011040243A1 (ja) * 2009-09-30 2011-04-07 新東工業株式会社 鋼材製品のショットピーニング処理法
WO2012017703A1 (ja) * 2010-08-05 2012-02-09 三菱電機株式会社 光電変換装置の製造方法
JP2019117816A (ja) * 2017-12-26 2019-07-18 花王株式会社 シリコンウェーハ製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011040243A1 (ja) * 2009-09-30 2011-04-07 新東工業株式会社 鋼材製品のショットピーニング処理法
JP4775525B2 (ja) * 2009-09-30 2011-09-21 新東工業株式会社 鋼材製品のショットピーニング処理法
KR101237915B1 (ko) 2009-09-30 2013-02-27 신토고교 가부시키가이샤 강재 제품의 쇼트 피닝 처리법
US9056386B2 (en) 2009-09-30 2015-06-16 Sintokogio, Ltd. Method of shot-peening treatment of steel product
WO2012017703A1 (ja) * 2010-08-05 2012-02-09 三菱電機株式会社 光電変換装置の製造方法
JP2019117816A (ja) * 2017-12-26 2019-07-18 花王株式会社 シリコンウェーハ製造方法
JP7138432B2 (ja) 2017-12-26 2022-09-16 花王株式会社 シリコンウェーハ製造方法

Similar Documents

Publication Publication Date Title
US6165956A (en) Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
TWI252534B (en) Copper CMP defect reduction by extra slurry polish
US6787473B2 (en) Post-planarization clean-up
TWI288175B (en) Post-CMP washing liquid composition
US6806193B2 (en) CMP in-situ conditioning with pad and retaining ring clean
WO2000035627A2 (en) Multi-step chemical mechanical polishing
JP2001156029A (ja) 少ない欠陥のための後CuCMP
KR20000022908A (ko) 기판 세정방법 및 기판 세정액
JP2002506295A (ja) 銅フィルムの研磨後に半導体基板を洗浄するための方法および装置
JP2000183003A (ja) 銅系金属用研磨組成物および半導体装置の製造方法
US20050239289A1 (en) Method for reducing integrated circuit defects
US20040074518A1 (en) Surfactants for post-chemical mechanical polishing storage and cleaning
JPH1140526A (ja) 配線形成方法及び半導体装置の製造方法
US20040259366A1 (en) Method and composition for the chemical-vibrational-mechanical planarization of copper
US20040074517A1 (en) Surfactants for chemical mechanical polishing
US7067015B2 (en) Modified clean chemistry and megasonic nozzle for removing backside CMP slurries
US6479443B1 (en) Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US20030211814A1 (en) Method for achieving uniform CU CMP polishing
US6551943B1 (en) Wet clean of organic silicate glass films
JP2005294282A (ja) ポストcmp保管及び洗浄用の界面活性剤
JP2005129578A (ja) 化学的機械研磨用の界面活性剤
JP2004022855A (ja) 半導体装置の製造方法
TWI832902B (zh) 洗淨液組成物
JP2003092300A (ja) 半導体装置の製造方法及び半導体製造装置
JP2006147655A (ja) 半導体装置の製造方法