JP2005051214A - 電気的相互接続構造およびその形成方法 - Google Patents

電気的相互接続構造およびその形成方法 Download PDF

Info

Publication number
JP2005051214A
JP2005051214A JP2004187691A JP2004187691A JP2005051214A JP 2005051214 A JP2005051214 A JP 2005051214A JP 2004187691 A JP2004187691 A JP 2004187691A JP 2004187691 A JP2004187691 A JP 2004187691A JP 2005051214 A JP2005051214 A JP 2005051214A
Authority
JP
Japan
Prior art keywords
low
dielectric
cmp
spin
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004187691A
Other languages
English (en)
Other versions
JP4709506B2 (ja
Inventor
Lee M Nicholson
リー・エム・ニコルソン
Wei-Tsu Tseng
ウェイ−スー・セング
Christy Tyberg
クリスティ・タイバーグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005051214A publication Critical patent/JP2005051214A/ja
Application granted granted Critical
Publication of JP4709506B2 publication Critical patent/JP4709506B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】第1の低k誘電体層と、第1の低k誘電体層へ共有結合したスピンオン低kCMP保護層と、CVD付着ハードマスク/CMP研磨停止層とを含む基板上の電気的相互接続構造を提供する。
【解決手段】電気的バイア13および配線11を第1の低k誘電体層3内に形成できる。スピンオン低kCMP保護層5は、中央部からエッジへのまたは金属密度が変化する領域におけるCMPプロセスの非均一性のために生じ得る低k誘電体3へのダメージを阻止する。低kCMP保護層5の厚さを調節して当該構造9の有効誘電率に著しい影響を与えることなしにCMPプロセス内の大きな変化に対応できる。
【選択図】図2

Description

本発明は、高速マイクロプロセッサ,特定用途向け集積回路(ASIC),および関連した高速ICのための相互接続構造に関する。より詳細には、本発明は、高められた回路速度と、導体抵抗の正確な値と、改良された機械的完全性とを備えた低誘電率(k)または超低誘電率(k)相互接続構造を提供する。
デュアルダマシン・タイプの低k誘電体とCuとの多くの相互接続構造が知られている。SiLK(R)が低k誘電体材料として使用されているデュアルダマシン・プロセスの例については、本発明と同一の譲受人へ譲渡された特許文献1が参照され、その全体が本明細書において完全に説明されるように明細書の内容として引用される。
低誘電率(k)および超低誘電率(k)材料との集積は、下にある誘電体を保護し、低k誘電体の浸食およびディッシング(dishing)を阻止するための化学機械平坦化(CMP)研磨停止層を必要とする。一般的には、著しく高い誘電率(レベル間誘電体絶縁体材料と比べて)を有する化学的蒸着(CVD)材料を配線レベルの誘電体材料に直接付着させて、ハードマスクを形成する。
CMPの後、CVD CMP研磨停止層の連続的な層が構造内に残留して、誘電体への後続のダメージを阻止する必要がある。加えて、中央部からエッジへのCMPの不均一性または金属充填材が変化する領域のCMPの不均一性が、ピンホールすなわちCMP研磨停止層が完全に浸食された領域を引き起こさないように、この層の厚さが十分である必要がある。したがって、高い誘電率の材料(一般的にk=4.1のSiC)が構造内に残留して有効誘電率の増加をもたらすことになる。
米国特許第6,383,920号明細書 米国特許第5,965,679号明細書 国際公開第00/31183号パンフレット 米国特許第6,218,020号明細書 米国特許第6,177,199号明細書 国際公開第02/083327A1号パンフレット 国際公開第00/19508号パンフレット
本発明の目的は、Cu導体抵抗の正確かつ均一な制御を備え、低下した有効誘電率と改善された信頼性および製造の容易性とを備えるシングルダマシン・タイプまたはデュアルダマシン・タイプの超低k誘電体とCuとの相互接続構造を提供することである。
本発明の他の目的は、改善されたCMP後のハードマスク保持力(retention)と、改善された信頼性と、低下した有効誘電率とを備え、プロセス実時間(raw process time)の増加が最低限である低kまたは多孔性超低k誘電体スタックを提供することである。
本発明のさらなる目的は、ここで述べた本発明の構造を製造する方法を提供することである。
本発明は基板上の電気的相互接続構造を提供する。この電気的相互接続構造は、第1の低kまたは超低k誘電体層と、第1の低k誘電体層の上に配置された低kCMP保護層と、CVDハードマスク/CMP研磨停止層とを含む。
本発明は、基板上に電気的相互接続構造を形成する方法を提供する。この方法は、基板上に配置された第1の低k誘電体または超低k誘電体層の上に低kCMP保護層を形成してCMP保護層を第1の低k誘電体または超低k誘電体層と共有結合させる工程と、低kCMP保護層の上にハードマスク/CMP研磨停止層を形成する工程とを含む。
本発明のこれらのおよび他の目的,利点および特徴は、以下の詳細な説明および添付の図面を参照して理解できる。
本発明は、第1の低kまたは超低k誘電体層と、第1の低k誘電体層の上に配置された低kCMP保護層と、CVDハードマスク/CMP研磨停止層とを含む基板上の電気的相互接続構造を提供する。
好ましくは、電気的相互接続構造は、スピンオン有機配線レベル誘電体層(あるいは埋め込みエッチストップを備える若しくは備えないデュアルダマシン・バイアおよび配線レベル誘電体)と、スピンオン有機配線レベル誘電体層の上に配置されたスピンオン無機シルセスキオキサン(silsesquioxane)またはスピンオン無機−有機ハイブリッド・シルセスキオキサンCMP保護層と、一般的なプラズマCVD(PECVD)ハードマスク/CMP研磨停止層とを含む。
好ましくは、第1の低k誘電体層は、b段階(b-staged)ポリマを含むポリマベースの低k誘電体材料のクラスを代表するDow Chemical Companyの登録商標であるSiLK(R)(例えば、http://www.dow.com/silk/feature.htmおよび先に引用した特許文献1を参照されたい)、GX−3(ウェブサイトhttp://www.electronicmaterials.com/na/products_services/thin_films/dielectrics/low_k_dielectrics.htmlで説明されるHoneywell Electronic Materialsの商標)、多孔性SiLK(R)(Dow Chemical Companyの登録商標)、GX−3p(Honeywell Electronic Materialsの商標)、Si,C,OおよびHを含有するJSR LKD 5109(JSR Micro Corpの商標)スピンオン低k誘電体材料、多孔性スピンオンSiwxyz 材料、スピンオン誘電体材料、低kスピンオン誘電体材料あるいは多孔性低kスピンオン誘電体材料のようなスピンオン誘電体材料で構成される。
配線レベル誘電体層は、SiLK(R),GX−3(商標),多孔性SiLK(R),GX−3p(商標)または他の非多孔性もしくは多孔性の有機低k誘電体材料で構成できる。
この種の材料は、Dow Chemical Companyへ譲渡されたJames P. Godschalx等の“Polyphenylene Oligomers and Polymers”と名付けられた特許文献2と、Dow Chemical Companyへ譲渡されたKenneth J. Bruza等の“A Composition Containing a Cross-linkable Matrix Precursor and a Porogen, and a Porous Matrix Prepared Therefrom”と名付けられた特許文献3とに説明され、これらの内容の全てが本明細書において完全に説明されるように明細書の内容として引用される。
好ましくは、スピンオン低kCMP保護層は、第1の低k誘電体層へ共有結合される。好ましくは、スピンオン低kCMP保護層は、研磨傷を生じさせることなくまたは他の欠陥を生じさせることなく直接研磨できる低いCMP研磨速度を有し、約2.2から約3.5までの誘電率を有する材料で構成される。
一般的には、スピンオン低kCMP保護層は、CMP研磨スラリ内に含有された化学物質に対して不活性であり、低い誘電率と低いCMP研磨速度とを有する。スピンオン低kCMP保護層は、分子レベル自由体積または約5%から約80%までの体積百分率を有する分子レベル多孔度を有する。好ましくは、分子レベル自由体積は、約2Åから約50Åまでの範囲の大きさを有する。
スピンオン低kCMP保護層は、スポンジのように物理的に機能し、研磨の際に下方への力が加えられた状態で減衰力を与える。スピンオン低kCMP保護層は、微細かつ均一に分散した細孔または自由体積を有し、HOSP(Honeywell Electronic Materialsの商標)、AP6000(Dow Chemicalの商標)、HOSP BESt(Honeywell Electronic Materialsの商標)、Ensemble(Dow Chemicalの商標)エッチストップ、Ensemble(Dow Chemicalの商標)ハードマスク、オルガノシルセスキオキサン(organo silsesquioxane)、ヒドリドシルセスキオキサン(hydrido silsesquioxane)、ヒドリドオルガノシルセスキオキサン共重合体、シロキサン、シルセスキオキサン、または低誘電率(好ましくは3.5未満、より好ましくは3.0未満)および標準ライナ研磨プロセスにおいて比較的低いCMP研磨速度(好ましくは350Å/min未満、より好ましくは150Å/min未満)を備える他のスピンオン材料のようなスピンオン材料で構成される。
この種の材料は下記の文献で説明される。
(1)Allied Signal Incへ譲渡されたNigel P. Hacker等の“Dielectric Films from Organohydridosiloxane Resins with High Organic Content”と名付けられた特許文献4。
(2)Allied Signal Incに譲渡されたNigel P. Hacker等の“Dielectric Films from Organohydridosiloxane Resins with High Organic Content”と名付けられた特許文献5。
(3)Honeywell International Incに譲渡されたMichael Thomas等の“Layered Stacks and Methods of Production Thereof”と名付けられた特許文献6。
これらの文献の内容の全てが、本明細書において完全に説明されるように明細書の内容として引用される。
一般的には、CVDハードマスク/CMP研磨停止層は一般的なCVDハードマスク/CMP研磨停止層であり、この一般的なCVDハードマスク/CMP研磨停止層は、BLOK(Applied Materials, Incの商標)バリア誘電体膜、窒化シリコン、炭化シリコン、Sixyz 付着材料およびCVD付着材料、あるいは低いCMP研磨速度を備える他のSi含有PECVD付着材料で構成できる。
この種の材料が、Applied Materials, Incに譲渡されたSudha Rathi等の“Silicon Carbide Deposition Method and use as a Barrier Layer and Passivation Layer”と名付けられた特許文献7で説明される。この公報の内容の全てが、本明細書において完全に説明されるように明細書の内容として引用される。
1つの実施の形態において、相互接続構造は、基板上の誘電体層内部に形成された複数のパターニングされた金属導体を含むことができる。パターニングされた金属導体のうちの少なくとも1つを電気配線とすることができ、デュアルダマシン構造の場合には、パターニングされた金属導体のうちの少なくとも1つをバイアとすることができる。
本発明は、また、基板上に電気的相互接続構造を形成する方法を提供し、この方法は、シングルダマシンまたはデュアルダマシン厚さの第1の誘電体(または埋め込みエッチストップを含む多層誘電体スタック)を形成する工程と、第1の誘電体層の上にスピンオン低kCMP保護層を形成する工程と、誘電体層と低kCMP保護層とを硬化させる工程とを含む。その後、少なくともCMP研磨停止層を含む一般的なハードマスク・スタックを付着してもよい。
好適な実施の形態において、誘電体層は、SiLK(R),GX−3(商標),多孔性SiLK(R),GX−3p(商標)または他の非多孔性もしくは多孔性スピンオン低k誘電体材料で構成でき、スピンオン低kCMP保護層は、AP6000(商標),HOSP(商標),HOSP BESt(商標),Ensemble(商標)エッチストップ,Ensemble(商標)ハードマスク,オルガノシルセスキオキサン,ヒドリドシルセスキオキサン,ヒドリドオルガノシルセスキオキサン共重合体,シロキサン,シルセスキオキサン,または、低い誘電率(好ましくは3.5未満、より好ましくは3.0未満)および標準ライナ研磨プロセスにおいて比較的低いCMP研磨速度(好ましくは350Å/min未満、より好ましくは150Å/min未満)を備える他のスピンオン材料で構成できる。
好適な実施の形態において、第1の低k誘電体は多孔性有機誘電体とすることができ、スピンオン低kCMP保護層は無機材料または無機/有機ハイブリッド材料とすることができる。
相互接続構造内の第1の低k誘電体は、埋め込みエッチストップを含む誘電体のスタックとすることができる。
好ましくは、第1の低k誘電体層は、約600Åから約8000Åまでの厚さを有し、スピンオン低kCMP保護層は約50Åから約500Åまでの厚さを有する。
この構造は、CMP研磨スラリ内に含有される化学物質に対して不活性である低kCMP保護層を使用する。物理的に、この層はスポンジのように機能し、研磨の際に下方への力が加えられた状態で減衰力を与える。この化学的不活性と物理的減衰との組み合せは、CMPの際の化学的攻撃(chemical attack)と物理的磨耗(mechanical abrasion)それぞれを低減させ、低い研磨速度につながる。このような減衰メカニズムは、また、異なったパターン・サイズに渡る局所的な圧力変動の緩和を促進し、したがって、研磨速度のパターン・サイズ/密度依存性を低減するという追加の利点を提供する。
この材料の分子レベル自由体積のために、この多孔質(spongy)または多孔性の低kCMP保護層は、材料内部に微細かつ均一に分散した細孔を含む。それにもかかわらず、この低kCMP保護層は、CMPによって課されたせん断応力に耐えるために十分高い接着力とせん断強さとをさらに備える。この材料の多孔質または多孔性の構造は、また、より低い誘電率(低k)を生じさせる。その結果、本発明の構造は、従来の低k有機誘電体構造を上回って有効誘電率を低下させる。というのは、CMP後に残る層が、炭化シリコン層のような従来のハードマスク/CMP研磨停止層よりも著しく低い誘電率を有するからである。
加えて、スピンオン誘電体とスピンオン低kCMP保護層との間の共有結合の結果として誘電体材料への優れた接着力を有するようにこの層を選択することができる。
本発明の構造は、この構造が当該構造の有効誘電率に著しい影響を与えることなしに誘電体よりも高いkのCMP研磨停止層の使用を可能にするという点において無比である。というのは、CMP研磨停止層が、研磨の際に完全に除去されて低kCMP保護層のみを残すからである。
加えて、CMP保護層またはCMP研磨停止層の厚さを増加させて、当該構造の有効誘電率に影響を与えることなしに、CMPプロセス内の非均一性を補償できる。これは、CMPの際の誘電体へのダメージを阻止することにより、より“製造し易い(manufacturable)”CMPプロセス・ウィンドウと改良された歩留りおよび信頼性とを可能にする。
相互接続構造の好適な実施の形態において、基板は、その上に形成された接着促進剤(adhesion promoter)の層を有する半導体ウェハとすることができる。
相互接続構造の好適な実施の形態において、当該構造は、基板上の誘電体層のスタックをさらに含んでもよい。このスタックは、少なくとも第1の低k誘電体層とスピンオン低kCMP保護層とを含むことができ、さらに、第1の低k誘電体層とスピンオン低kCMP保護層とのスタック内部に形成された複数のパターニングされた金属導体を含むことができる。
パターニングされた金属導体のうちの少なくとも1つは電気的バイアとすることができ、パターニングされた金属導体のうちの少なくとも1つはバイアに接続された配線とすることができる。
相互接続構造の他の好適な実施の形態において、当該構造は、基板上の誘電体層のスタック内部に形成された単一レベルのパターニングされた金属導体をさらに含んでもよい。また、パターニングされた金属導体は、配線もしくはバイアとすることができる。
本発明は、さらに、基板上に配置された第1の低k誘電体もしくは超低k誘電体層の上に低kCMP保護層を形成してCMP保護層を第1の低k誘電体もしくは超低k誘電体層と共有結合させる工程と、低kCMP保護層の上にハードマスク/CMP研磨停止層を形成する工程と、を含む基板上に電気的相互接続構造を形成する方法を提供する。
第1の低k誘電体層もしくは超低k誘電体層と、低kCMP保護層と、ハードマスク/CMP研磨停止層と、基板とは、本明細書において上述したのと同様である。
この方法は、さらに、基板上の1つまたは複数の誘電体層内部に複数のパターニングされた金属導体を形成する工程を含んでもよい。追加の誘電体層を加えてもよく、導体を追加することによって構造を完成させてもよい。
1つの実施の形態において、本方法は、さらに、第1の誘電体層内に金属配線を形成する工程かまたは第1の誘電体層内に金属バイアを形成する工程を含んでもよい。
他の実施の形態において、この方法は、さらに、追加の誘電体層を加える工程と導体を追加して電気的相互接続構造を完成させる工程とを含んでもよい。
さらに他の実施の形態において、この方法は、少なくとも第1の誘電体層と低kCMP保護層とを含む誘電体層のスタックを基板上に形成する工程と、誘電体層内部に複数のパターニングされた金属導体を形成する工程とを、さらに含んでもよい。
さらに他の実施の形態において、この方法は、さらに、誘電体層を硬化させて第1の低k誘電体層へのCMP保護層の橋かけ結合を促進し、CMP保護層のCMP研磨速度を低下させる工程を含んでもよい。誘電体層がスタック状である場合には、誘電体層は、高温ホット・プレート・ベーキング・チャンバ(high temperature hot plate baking chambers)を含むスピンコーティング・ツールのような単一ツールでの順次的付着の後で硬化されてもよい。
好ましくは、第1の誘電体層およびCMP保護層は、一般的には約15分から約3時間までの時間の範囲内で約300℃から約500℃までの温度で、炉内の単一工程で硬化される。
この方法は、さらに、1つまたは複数の誘電体層とCMP保護層とを硬化させる工程を含む。スタック内のスピンオン層は、好ましくは、単一ツールでの順次的付着の後に単一工程で硬化される。誘電体付着ツールは、高温ホット・プレート・ベーキング・チャンバを含むスピンコーティング・ツールであってもよく、硬化工程は、約15分から約3時間までの間に約300℃から約500℃までの温度で実行される炉による硬化工程(furnace curing step)であってもよい。
(本発明に係る構造)
図1を参照すると、シリコン基板1は、その上に、第1の低k誘電体層3とハードマスク/CMP研磨停止層7とを備える。一般的なハードマスク/CMP研磨停止層は、誘電体を硬化させた後にPECVD付着手法によって付着され、約3.5以上の誘電率を有する。この層の厚さの増加によって、より制御し易いCMPプロセスがCMPスラリに対する誘電体の露出を阻止するのを可能にするが、これは、全有効誘電率に否定的な影響を与えることになる。
図2および図3を参照して、以下に詳細に述べるように、ハードマスク/CMP研磨停止層と誘電体との間にスピンオン低kCMP保護層5を含むことによって、より低い全有効誘電率と共に信頼性の改善が達成される。このCMP保護層の厚さを調節して高kハードマスク/CMP研磨停止層の完全な除去を可能にしながらCMPスラリに対する誘電体の露出を阻止することができる。これは、低い有効誘電率を保持しながら誘電体へのダメージを阻止する。
図2および3を参照すると、基板1は、例えば、トランジスタ、および導体素子のアレイのような電子デバイスを含むことができる。本発明に係る相互接続構造9が基板1上に形成される。相互接続構造9は、600Å〜8000Åの厚さを有し高度な芳香族構造(highly aromatic structure)を有し得るSiLK(R)誘電体層3で構成され、SiLK(R)誘電体層3は、およそ425℃まで熱的に安定しており、450℃より高いガラス転移温度と2.65という低い誘電率とを備える。
厚さが50Å〜500Å(より好ましくは50Å〜200Å)であり、約200Å/min未満のCMP研磨速度を与える原子組成を有するHOSP(商標)CMP保護層5をSiLK(R)層3の上に配置する。この材料は、SiLK(R)への良好な接着力と、425℃より高い温度までの熱的安定性と、3.2以下の低い誘電率とを有する。
300Å〜1000Åの厚さであり、約300Å/min未満のCMP研磨速度と約4.1の誘電率とを備えるシリコン含有PECVD付着材料であるハードマスク/CMP研磨停止層7をCMP保護層5の上に配置する。
デュアルダマシン・プロセスによって形成されたパターニングされた金属配線11およびバイア13を、上述した誘電体多層構造内部に形成する。
他の低kスピンコート材料を、誘電体層3およびCMP保護層5として使用でき、他のPECVD付着材料をハードマスク/CMP研磨停止層7として使用できる。層3として使用できるこのような材料の例は、多孔性SiLK(R),GX−3(商標),GX−3p(商標),JSR LKD 5109(商標),多孔性スピンオンもしくはCVDベースのSiwxyz あるいは他の低kもしくは多孔性低k誘電体材料である。層5として使用できる他の材料の例は、AP6000(商標),HOSP BESt(商標),Ensemble(商標)エッチストップ,Ensemble(商標)ハードマスク,オルガノシルセスキオキサン,ヒドリドシルセスキオキサン,ヒドリド−オルガノシルセスキオキサン共重合体,シロキサン,シルセスキオキサンまたは低誘電率と低CMP研磨速度とを備える他のスピンオン材料である。
(本発明に係る方法)
(A.誘電体層のスタック)
本発明に係る相互接続構造9をスピンオン手法によって基板1またはウェハへ付着させることができる。相互接続構造9内の第1の層3は、好ましくは、600Å〜8000Åの所望の厚さを有する低k誘電体である。この低k誘電体を、1000rpm〜4000rpmのスピン速度でスピンオン手法により付着させる。スピンオン工程(spinning)の後、基板1を100℃〜350℃で30秒〜120秒間ホット・プレート・ベーキングして低k誘電体の溶媒を除去する。次に、基板1を酸素制御された(oxygen-controlled)ホット・プレート上に配置して350℃〜400℃で1分〜7分間硬化させる。この時間と温度とは、第1の層3の膜を不溶性にするために十分である。冷却工程の後、50Å〜500Åの所望の厚さを有するスピンオンCMP保護層5を、1000rpm〜4000rpmのスピン速度でスピンオン手法によって付着させる。続いて、ウェハを酸素制御されたホット・プレート上に配置して150℃〜350℃で30秒〜120秒間ベーキングして溶媒を乾燥させる。
(B.単一硬化工程で硬化された誘電体層のスタック)
この時点で、ウェハを純N2 (極めて低いO2 およびH2 O濃度)の雰囲気の炉内に配置し、350℃〜450℃で1時間〜3時間硬化させて誘電体とCMP保護層とを橋かけ結合させる。この硬化工程の際、CMP保護層は、また、誘電体の表面へ化学的に結合して、2つの層間に優れた接着をもたらす。誘電体層が多孔性材料である場合、細孔形成剤(porogen)が、低kCMP保護層の自由体積を通した熱的分解および拡散によって硬化の際に誘電体層から除去される。
(C.デュアルダマシン・パターニングのために付加される追加の誘電体層(分散ハードマスク))
上述したように、例えば特許文献1で述べられたデュアルダマシン・プロセスを、追加の層を加える時に使用できる。同様の層を、シングルダマシン・プロセスのために追加できる。
(D.標準のプロセス工程を使用して完成された図3のデュアルダマシン構造)
誘電体内にトレンチおよびバイアを形成する工程と、少なくとも導電金属でトレンチを充填する工程と、導電金属を平坦化してハードマスク/CMP研磨停止層上で停止し、不均一CMPの一部の領域において平坦化がスピンオン低kCMP保護層上で停止する工程と、を含む標準のデュアルダマシンBEOLプロセスによってデュアルダマシン構造を完成させる。シングルダマシン・プロセスも使用できる。
(実施例)
(SiLK(R)/HOSP BESt(商標)/BLOK(商標)構造の製造)
(A.図2に示されたように形成された誘電体層のスタック)
Figure 2005051214
上記の表1および図2を参照すると、直径200mmのシリコン・ウェハ基板へAP6000(商標)の溶液を付着させ、続いて3000rpmで30秒間スピンさせることにより、直径200mmのシリコン・ウェハ基板を接着促進剤で処理する。次に、ウェハをホット・プレート上に配置して310℃で90秒間第1のホット・プレート・ベーキングを行う。
ウェハを室温まで冷却した後、低k誘電体(SiLK(R))の第1の層を付着させる(図2の層3)。SiLK(R)溶液をウェハ上に配置してウェハを3000rpmで30秒間スピンさせる。スピン工程の後、ウェハを310℃のホット・プレート上に2分間配置して溶媒を部分的に乾燥させる。次に、ウェハを400℃のホット・プレートへ移して3分間硬化させる。この時間および温度スケジュールは、膜を不溶性にするために十分とする必要がある。
次に、ウェハを冷却してスピンナ(spinner)へ戻す。2000rpmのスピン速度で100Åの膜厚を達成するように希釈されたHOSP BESt(商標)の溶液をウェハへ付着させ、2000rpmで30秒間スピンさせ、CMP保護層5(図2)を生じさせる。スピン工程の後、ウェハを310℃で2分間ホット・プレート上に配置して溶媒を部分的に乾燥させる。
ウェハを、酸素制御された炉(oven)内に配置して415℃で60分間硬化させてSiLK(R)とCMP保護層とを硬化させ、層間の橋かけ結合を促進し、CMP保護層の力学的性質を向上させてこの層のCMP研磨除去速度を低下させる。
上述した層を含む硬化したウェハをPECVD反応炉内に配置し、炭化シリコンの500Åの層を付着させる。これは、本実施例の誘電体多層構造の形成を完成させる。
(B.完成した図2のデュアルダマシン構造)
続いて、追加のパターニング層の付着,リソグラフィおよびエッチング・プロセスを、例えば特許文献1に述べられたように実行する。続いて、当業界において周知の標準プロセス方法(エッチングされたトレンチおよびバイア開口をライナで充填し、次にCuで充填し、CuをCMPによって平坦化する)を使用して、デュアルダマシン構造を完成させる。
最後のCMPプロセスの際に、付着した炭化シリコン層の大部分を除去して、図2に示された構造を残す。
代わりに、炭化シリコン層の全てを除去して図3に示された構造を残してもよい。
付着、続いてCMPに至るまでの後続のプロセスの後の誘電体層とハードマスク/CMP停止層とを示す概略図である。この図は、中央部からエッジへのまたは金属密度が変化する領域におけるCMPの非均一性によってハードマスク/CMP研磨停止層が突破されるという起こり得る結果を説明する。 付着、続いてCMPに至るまでの後続のプロセスの後の、誘電体とスピンオン低kCMP保護層とハードマスク/CMP停止層とを含む本発明の構造を示す概略図である。この図は、中央部からエッジへのまたは金属密度が変化する領域におけるCMPの非均一性によってハードマスク/CMP研磨停止層が突破されるという起こり得る結果を説明する。 付着、続いてCMPに至るまでの後続のプロセスの後の、誘電体とスピンオン低kCMP保護層とハードマスク/CMP停止層とを含む本発明の構造を示す概略図である。この構造において、CMP後の残留材料の全てが低k材料となるようにより厚いCMP保護層を使用することができる。保護層の厚さは、有効誘電率に影響を与えることなしに増加させることができる。 図2または図3の構造を製造する方法のプロセス・フロー・チャートである。
符号の説明
1 基板
3 低k誘電体層
5 低kCMP保護層
7 ハードマスク/CMP研磨停止層
9 相互接続構造
11 金属配線
13 金属バイア

Claims (50)

  1. 基板上の電気的相互接続構造であって、
    第1の低kまたは超低k誘電体層と、
    前記第1の低k誘電体層の上に配置された低kCMP保護層と、
    CVDハードマスク/CMP研磨停止層と、を備える電気的相互接続構造。
  2. 前記第1の低k誘電体層は、第1のスピンオン低k誘電体層である請求項1に記載の電気的相互接続構造。
  3. 前記第1の低k誘電体層は、有機誘電体材料で構成される請求項1に記載の電気的相互接続構造。
  4. 前記スピンオン低k誘電体層は、SiLK(R),GX−3(商標),多孔性SiLK(R),GX−3p(商標),JSR LKD 5109(商標),多孔性スピンオンSiwxyz 材料,スピンオン誘電体材料,低kスピンオン誘電体材料および多孔性低kスピンオン誘電体材料より成るグループから選択される請求項2に記載の電気的相互接続構造。
  5. 前記低kCMP保護層は、スピンオン低kCMP保護層である請求項1に記載の電気的相互接続構造。
  6. 前記低kCMP保護層は、前記第1の低k誘電体層へ共有結合される請求項1に記載の電気的相互接続構造。
  7. 前記スピンオン低kCMP保護層は、研磨傷を生じさせることなくまたは他の欠陥を生じさせることなく直接研磨できる低いCMP研磨速度の材料で構成される請求項5に記載の電気的相互接続構造。
  8. 前記スピンオン低kCMP保護層は、2.2から3.5までの誘電率を有する請求項5に記載の電気的相互接続構造。
  9. 前記スピンオン低kCMP保護層は、CMP研磨スラリ内に含有された化学物質に対して不活性である請求項5に記載の電気的相互接続構造。
  10. 前記スピンオン低kCMP保護層は、分子レベル自由体積または分子レベル多孔度を有する請求項5に記載の電気的相互接続構造。
  11. 前記分子レベル自由体積は、2Åから50Åまでの範囲の大きさを有する請求項10に記載の電気的相互接続構造。
  12. 前記分子レベル多孔度は、5%から80%までの体積百分率を有する請求項10に記載の電気的相互接続構造。
  13. 前記スピンオン低kCMP保護層は、スポンジのように物理的に機能し、研磨の際に下方への力が加えられた状態で減衰力を与える請求項5に記載の電気的相互接続構造。
  14. 前記スピンオン低kCMP保護層は、微細かつ均一に分散した細孔を含む請求項5に記載の電気的相互接続構造。
  15. 前記スピンオン低kCMP保護層は、HOSP(商標),AP6000(商標),HOSP BESt(商標),Ensemble(商標)エッチストップ,Ensemble(商標)ハードマスク,オルガノシルセスキオキサン,ヒドリドシルセスキオキサン,ヒドリド−オルガノシルセスキオキサン共重合体,シロキサン,およびシルセスキオキサンより成るグループから選択されたスピンオン材料で構成される請求項5に記載の電気的相互接続構造。
  16. 前記スピンオン材料は、低い誘電率と低いCMP研磨速度とを有する請求項15に記載の電気的相互接続構造。
  17. 前記CVDハードマスク/CMP研磨停止層は、一般的なCVDハードマスク/CMP研磨停止層である請求項1に記載の電気的相互接続構造。
  18. 前記ハードマスク/CMP研磨停止層は、BLOK(商標),窒化シリコン,炭化シリコン,Sixyz または低いCMP研磨速度を備えるCVD付着材料で構成される請求項17に記載の電気的相互接続構造。
  19. 前記第1の低k誘電体は有機誘電体であり、前記スピンオン低kCMP保護層は無機材料または無機/有機ハイブリッド材料である請求項1に記載の電気的相互接続構造。
  20. 前記第1の低k誘電体は多孔性である請求項1に記載の電気的相互接続構造。
  21. 前記第1の低k誘電体は、埋め込みエッチストップを含む誘電体のスタックである請求項1に記載の電気的相互接続構造。
  22. 前記第1の低k誘電体層は、600Åから8000Åまでの厚さを有する請求項1に記載の電気的相互接続構造。
  23. 前記低kCMP保護層は、50Åから500Åまでの厚さを有する請求項1に記載の電気的相互接続構造。
  24. 前記基板は、前記基板の上に形成された接着促進剤の層を有する半導体ウェハである請求項1に記載の電気的相互接続構造。
  25. 少なくとも前記第1の低k誘電体層と前記低kCMP保護層とを含む前記基板上の誘電体層のスタックをさらに備える請求項1に記載の電気的相互接続構造。
  26. 前記第1の低k誘電体層と前記低kCMP保護層との前記スタック内部に形成された複数のパターニングされた金属導体をさらに備える請求項25に記載の電気的相互接続構造。
  27. 前記パターニングされた金属導体のうちの少なくとも1つは電気的バイアである請求項26に記載の電気的相互接続構造。
  28. 前記パターニングされた金属導体のうちの少なくとも1つは前記バイアへ接続された配線である請求項27に記載の電気的相互接続構造。
  29. 前記基板上の誘電体層の前記スタック内部に形成された単一レベルのパターニングされた金属導体をさらに備える請求項25に記載の電気的相互接続構造。
  30. 前記パターニングされた金属導体は配線である請求項29に記載の電気的相互接続構造。
  31. 前記パターニングされた金属導体はバイアである請求項29に記載の電気的相互接続構造。
  32. 基板上に電気的相互接続構造を形成する方法であって、
    基板上に配置された第1の低k誘電体または超低k誘電体層の上に低kCMP保護層を形成し、前記CMP保護層を前記第1の低k誘電体または超低k誘電体層と共有結合させる工程と、
    前記低kCMP保護層の上にハードマスク/CMP研磨停止層を形成する工程と、を含む方法。
  33. 前記第1の低k誘電体層は第1のスピンオン低k誘電体層である請求項32に記載の方法。
  34. 前記スピンオン低k誘電体層は、SiLK(R),GX−3(商標),多孔性SiLK(R),GX−3p(商標),JSR LKD 5109(商標),多孔性スピンオンSiwxyz 材料,スピンオン誘電体材料,低kスピンオン誘電体材料および多孔性低kスピンオン誘電体材料より成るグループから選択される請求項33に記載の方法。
  35. 前記第1の低k誘電体層は多孔性である請求項32に記載の方法。
  36. 前記第1の低k誘電体層は、600Åから8000Åまでの厚さを有する請求項32に記載の方法。
  37. 前記低kCMP保護層は、50Åから500Åまでの厚さを有するスピンオン低kCMP保護層である請求項32に記載の方法。
  38. 前記CMP保護層は、HOSP(商標),AP6000(商標),HOSP BESt(商標),Ensemble(商標)エッチストップ,Ensemble(商標)ハードマスク,オルガノシルセスキオキサン,ヒドリドシルセスキオキサン,ヒドリド−オルガノシルセスキオキサン共重合体,シロキサンおよびシルセスキオキサンより成るグループから選択されたスピンオン材料で構成される請求項32に記載の方法。
  39. 前記第1の誘電体層内に金属配線を形成する工程をさらに含む請求項32に記載の方法。
  40. 前記第1の誘電体層内に金属バイアを形成する工程をさらに含む請求項32に記載の方法。
  41. 追加の誘電体層を付加する工程と、
    導体を追加して前記電気的相互接続構造を完成させる工程と、をさらに含む請求項32に記載の方法。
  42. 少なくとも前記第1の誘電体層と前記低kCMP保護層とを含む誘電体層のスタックを、前記基板上に形成する工程と、
    前記誘電体層の内部に複数のパターニングされた金属導体を形成する工程と、をさらに含む請求項41に記載の方法。
  43. 前記誘電体層を硬化させて前記第1の低k誘電体層への前記CMP保護層の橋かけ結合を促進させ、前記CMP保護層のCMP研磨速度を低下させる工程をさらに含む請求項42に記載の方法。
  44. 前記第1の誘電体層と前記CMP保護層とは、単一工程で硬化される請求項43に記載の方法。
  45. 前記第1の誘電体層と前記CMP保護層とは、300℃から500℃までの温度で15分から3時間までの時間の範囲内で炉内で硬化される請求項44に記載の方法。
  46. 前記スタック内の前記誘電体層は、単一ツールでの順次的付着の後に硬化される請求項43に記載の方法。
  47. 前記付着ツールは、高温ホット・プレート・ベーキング・チャンバを含むスピンコーティング・ツールである請求項46に記載の方法。
  48. 前記第1の低k誘電体層はスピンオン低k誘電体層であり、前記低kCMP保護層はスピンオン低kCMP保護層である請求項32に記載の方法。
  49. 前記スピンオン低kCMP保護層は、微細かつ均一に分散した細孔を含む請求項48に記載の方法。
  50. 前記CVDハードマスク/CMP研磨停止層は、一般的なCVDハードマスク/CMP研磨停止層である請求項32に記載の方法。
JP2004187691A 2003-07-28 2004-06-25 電気的相互接続構造およびその形成方法 Expired - Fee Related JP4709506B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/628925 2003-07-28
US10/628,925 US7071539B2 (en) 2003-07-28 2003-07-28 Chemical planarization performance for copper/low-k interconnect structures

Publications (2)

Publication Number Publication Date
JP2005051214A true JP2005051214A (ja) 2005-02-24
JP4709506B2 JP4709506B2 (ja) 2011-06-22

Family

ID=34103488

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004187691A Expired - Fee Related JP4709506B2 (ja) 2003-07-28 2004-06-25 電気的相互接続構造およびその形成方法

Country Status (5)

Country Link
US (2) US7071539B2 (ja)
JP (1) JP4709506B2 (ja)
KR (1) KR100612064B1 (ja)
CN (1) CN1309074C (ja)
TW (1) TWI339873B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7985675B2 (en) 2007-11-09 2011-07-26 Panasonic Corporation Method for fabricating a semiconductor device that includes processing an insulating film to have an upper portion with a different composition than an other portion
US9490216B2 (en) 2014-04-03 2016-11-08 Samsung Electronics Co., Ltd. Semiconductor device and semiconductor package

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US6992003B2 (en) * 2003-09-11 2006-01-31 Freescale Semiconductor, Inc. Integration of ultra low K dielectric in a semiconductor fabrication process
US7282148B2 (en) * 2003-10-30 2007-10-16 International Business Machines Corporation Porous silicon composite structure as large filtration array
US7695897B2 (en) * 2006-05-08 2010-04-13 International Business Machines Corporation Structures and methods for low-k or ultra low-k interlayer dielectric pattern transfer
US20090079072A1 (en) * 2007-09-21 2009-03-26 Casio Computer Co., Ltd. Semiconductor device having low dielectric insulating film and manufacturing method of the same
TWI419268B (zh) * 2007-09-21 2013-12-11 Teramikros Inc 半導體裝置及其製造方法
US8587124B2 (en) 2007-09-21 2013-11-19 Teramikros, Inc. Semiconductor device having low dielectric insulating film and manufacturing method of the same
JP4666028B2 (ja) * 2008-03-31 2011-04-06 カシオ計算機株式会社 半導体装置
US20100176513A1 (en) * 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
JP2010171064A (ja) * 2009-01-20 2010-08-05 Panasonic Corp 半導体装置及びその製造方法
US8617986B2 (en) 2009-11-09 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the integrated circuits
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
CN102810508B (zh) * 2012-08-16 2015-01-07 上海华力微电子有限公司 改善刻蚀形貌并提升可靠性的铜互连制备方法
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
JP6302644B2 (ja) * 2013-11-11 2018-03-28 株式会社ディスコ ウェーハの加工方法
CN107758607A (zh) * 2017-09-29 2018-03-06 湖南大学 一种高深宽比高保形纳米级正型结构的制备方法
US10573808B1 (en) * 2018-08-21 2020-02-25 International Business Machines Corporation Phase change memory with a dielectric bi-layer
CN109768121A (zh) * 2018-12-29 2019-05-17 浙江师范大学 用氧化钨对单晶硅表面进行钝化的方法
TWI839107B (zh) * 2023-02-09 2024-04-11 華邦電子股份有限公司 半導體裝置及其形成方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183051A (ja) * 1998-12-18 2000-06-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2002270612A (ja) * 2001-02-28 2002-09-20 Internatl Business Mach Corp <Ibm> 相互接続構造の形成方法
JP2002319621A (ja) * 2001-02-28 2002-10-31 Internatl Business Mach Corp <Ibm> 相互接続構造およびその形成方法
JP2003037162A (ja) * 2001-07-23 2003-02-07 Tokyo Electron Ltd 半導体装置の製造方法
JP2004253626A (ja) * 2003-02-20 2004-09-09 Fujitsu Ltd 多孔性絶縁膜、電子装置及びそれらの製造方法
JP2005519455A (ja) * 2001-12-13 2005-06-30 インターナショナル・ビジネス・マシーンズ・コーポレーション 多孔質低誘電率誘電体相互接続構造

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6218020B1 (en) * 1999-01-07 2001-04-17 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with high organic content
US6177199B1 (en) * 1999-01-07 2001-01-23 Alliedsignal Inc. Dielectric films from organohydridosiloxane resins with low organic content
US6265780B1 (en) * 1998-12-01 2001-07-24 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
JP3657788B2 (ja) * 1998-10-14 2005-06-08 富士通株式会社 半導体装置及びその製造方法
IL143207A0 (en) 1998-11-24 2002-04-21 Dow Chemical Co A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US6048796A (en) * 1998-12-15 2000-04-11 United Microelectronics Corp. Method of manufacturing multilevel metal interconnect
US6207554B1 (en) * 1999-07-12 2001-03-27 Chartered Semiconductor Manufacturing Ltd. Gap filling process in integrated circuits using low dielectric constant materials
FR2802336B1 (fr) * 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
KR20040005920A (ko) 2001-04-16 2004-01-16 허니웰 인터내셔날 인코포레이티드 적층 구조물 및 이의 제조 방법
JP3648480B2 (ja) * 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
US6660627B2 (en) * 2002-03-25 2003-12-09 United Microelectronics Corp. Method for planarization of wafers with high selectivities

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183051A (ja) * 1998-12-18 2000-06-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP2000294633A (ja) * 1999-04-07 2000-10-20 Sony Corp 半導体装置およびその製造方法
JP2002270612A (ja) * 2001-02-28 2002-09-20 Internatl Business Mach Corp <Ibm> 相互接続構造の形成方法
JP2002319621A (ja) * 2001-02-28 2002-10-31 Internatl Business Mach Corp <Ibm> 相互接続構造およびその形成方法
JP2003037162A (ja) * 2001-07-23 2003-02-07 Tokyo Electron Ltd 半導体装置の製造方法
JP2005519455A (ja) * 2001-12-13 2005-06-30 インターナショナル・ビジネス・マシーンズ・コーポレーション 多孔質低誘電率誘電体相互接続構造
JP2004253626A (ja) * 2003-02-20 2004-09-09 Fujitsu Ltd 多孔性絶縁膜、電子装置及びそれらの製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7985675B2 (en) 2007-11-09 2011-07-26 Panasonic Corporation Method for fabricating a semiconductor device that includes processing an insulating film to have an upper portion with a different composition than an other portion
US9490216B2 (en) 2014-04-03 2016-11-08 Samsung Electronics Co., Ltd. Semiconductor device and semiconductor package

Also Published As

Publication number Publication date
JP4709506B2 (ja) 2011-06-22
KR100612064B1 (ko) 2006-08-14
US7071539B2 (en) 2006-07-04
US20050023689A1 (en) 2005-02-03
CN1309074C (zh) 2007-04-04
CN1577830A (zh) 2005-02-09
TWI339873B (en) 2011-04-01
TW200515534A (en) 2005-05-01
US20060166012A1 (en) 2006-07-27
KR20050013492A (ko) 2005-02-04
US7407879B2 (en) 2008-08-05

Similar Documents

Publication Publication Date Title
US7407879B2 (en) Chemical planarization performance for copper/low-k interconnect structures
US6933586B2 (en) Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US7737561B2 (en) Dual damascene integration of ultra low dielectric constant porous materials
US6815333B2 (en) Tri-layer masking architecture for patterning dual damascene interconnects
US20080166870A1 (en) Fabrication of Interconnect Structures
EP0822586A2 (en) Improvements in or relating to integrated circuits
JP2008117903A (ja) 半導体装置の製造方法
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US7300868B2 (en) Damascene interconnection having porous low k layer with a hard mask reduced in thickness
US20070232062A1 (en) Damascene interconnection having porous low k layer followed by a nonporous low k layer
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US7129164B2 (en) Method for forming a multi-layer low-K dual damascene
JP4437922B2 (ja) 基板上の電気的相互接続構造およびその形成方法
JP2004253626A (ja) 多孔性絶縁膜、電子装置及びそれらの製造方法
KR20070019748A (ko) 상호접속 구조물의 제조방법
JP2004165658A (ja) 表面の細孔形成剤の部分燃焼によって生成される接着性を改善したポーラス低誘電率誘電体の相互接続
JP2011086824A (ja) 低誘電率膜の形成方法及び半導体装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071016

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20071114

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20071114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080611

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080909

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081017

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081215

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090109

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20110310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110318

R150 Certificate of patent or registration of utility model

Ref document number: 4709506

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees