JP2004514273A - 化学機械研磨における終点検出のための原位置方法及び装置 - Google Patents

化学機械研磨における終点検出のための原位置方法及び装置 Download PDF

Info

Publication number
JP2004514273A
JP2004514273A JP2002516606A JP2002516606A JP2004514273A JP 2004514273 A JP2004514273 A JP 2004514273A JP 2002516606 A JP2002516606 A JP 2002516606A JP 2002516606 A JP2002516606 A JP 2002516606A JP 2004514273 A JP2004514273 A JP 2004514273A
Authority
JP
Japan
Prior art keywords
wafer
polishing
reflectance
reflectivity
cmp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002516606A
Other languages
English (en)
Inventor
サカ ナナジ
ナム ジャミー
オー ヒラリオ エル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Massachusetts Institute of Technology
Original Assignee
Massachusetts Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/628,471 external-priority patent/US6476921B1/en
Application filed by Massachusetts Institute of Technology filed Critical Massachusetts Institute of Technology
Publication of JP2004514273A publication Critical patent/JP2004514273A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/12Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving optical means

Abstract

【解決手段】化学機械研磨の間に半導体ウェーハ又は基板上の局域における材料の除去を原位置モニターするための方法及び装置が提供されている。特に、本発明の方法及び装置は、ウェーハ表面上の或る局部又は局域内で、異なる材料の間の反射率(134)の差異を検出することを提供する。この反射率の差異(150)は、或る局域それぞれにおける材料除去の速度又は進展具合(152)を示すのに用いられる。
【選択図】図3

Description

【0001】
(発明の属する技術分野)
本発明は、化学機械研磨の間に終点検出を行うための原位置方法(in−situ method)及び装置に関し、より厳密には、化学機械研磨を行っている半導体ウェーハ又は基板の表面の局部領域をモニターして、ウェーハ表面の局部領域からの対象材料の除去を検出する方法及び装置に関する。
【0002】
(関連出願)
本発明は、本願と同時に出願した同時係属米国特許出願第  号(弁理士事件整理番号A−69175/MSS)と関連があり、全体を参考文献として援用されている。本発明は、更に、2000年12月29日出願の米国仮特許出願第60/258,931号の恩典を請求し、その全体を参考文献としてここに援用する。
【0003】
(関連文献)
以下の参考文献には、化学機械研磨及び各種先行技術による終点検出技術が記載されている。
Figure 2004514273
Figure 2004514273
Figure 2004514273
Figure 2004514273
【0004】
(発明の背景)
デバイスの高密度化が進むにつれて、半導体の製造は益々複雑になってきている。そのような高密度回路では、通常、金属の相互接続ラインの間隔を狭くし、酸化物のような絶縁材料を相互接続ラインの上及び相互接続ラインの間に何層も設ける必要がある。半導体ウェーハ又は基板の面平坦度は、層が積み重なるに従って悪化する。一般的に層の表面では下層に倣って微細な形状が形成されることになるので、層数が増大するほど、表面の平坦度の悪化が顕著になる。
【0005】
この問題に対処するため、化学機械研磨(CMP)処理が採用されている。CPM処理では、ウェーハの表面から材料を除去して実質的に平坦な面とする。最近では、相互接続ラインの製造にもCPM処理が利用されている。例えば、銅のリード線又は相互接続ラインを堆積させる際は、図1A及び図1Bに示されているように、酸化物層11内に溝12を形成したウェーハ10の表面全面に金属層13を堆積させる。金属層13は、スパッタリング法、気相蒸着法、又は適していれば他のどの様な従来技術を使って堆積させてもよい。ドープ又は非ドープ酸化シリコンのような酸化物層は、大抵は、化学蒸着法(CDV)で形成される。金属層は、ウェーハの表面全体を覆い溝の中に広がる。その後、酸化物の表面から金属層を取り除くことによって個々のリード線16が形成される。表面の金属を取り除いて溝内にリード線16が残るようにする場合には、CMP処理が使用される。リード線は、酸化物層を介在させることにより互いに絶縁される。
【0006】
一般的に、CMP処理を実行する際には、化学機械研磨(CMP)機を使用する。半導体産業では各種のCMP機が使用されている。CMP機は、通常、上に研磨パッドが取り付けられた回転式研磨プラテンと、表面を平坦化及び/又は研磨しようとするウェーハを保持する小径の回転式ウェーハキャリヤとを採用している。回転しているウェーハの表面を、回転している研磨パッドに当てて保持又は押しつける。ウェーハ研磨時には、研磨パッドの表面にスラリーを供給する。
【0007】
CMP処理の際には、ウェーハの上面から対象材料が除去された時期を正確に判定する必要がある。これは、ウェーハが過剰研磨され廃棄されるのを防ぐだけでなく、研磨不足のウェーハを再研磨する必要性を最小化する。CMP処理の停止時期を決定する方法は数多くある。代表的な方法は、(1)プラテン及びキャリアモーターへの電流をモニターすることにより、金属の最上層が研磨除去されシリコン酸化物層が露出したときの摩擦の変化を検出する方法と、(2)研磨パッドからの熱及び音の特徴をモニターする方法とである。電気的なインピーダンス、コンダクタンス及びキャパシタンスを利用して、金属層の存在を判定することもできる。
【0008】
最近は、CMP処理に関する技術に光学測定が利用されている。例えば、米国特許第5,838,448号は干渉測定法を利用しており、入射光の入射角度の変化によって生じる反射率の変動を測定することによって、薄層の厚さ又はフィルムの厚さの変化を検出することについて述べている。米国特許第5,835,225号は、反射率の測定を利用して基板の特定の表面特性を判定することについて述べている。米国特許第5,433,651号は、研磨処理の間ウェーハを観察し、原位置反射率における所定の変化が研磨処理の所定の状態と一致したときにCMP処理を終了させるための方法及び装置について述べている。
【0009】
これらの技術がCMP処理を向上させてきてはいるが、これらの方法は、ウェーハの小さな局域又は局部ではなく、ウェーハ表面全体の平均的(大域)特性を提供するものである。即ち、一般的に、大域システムは、ウェーハの一部が他の部分より先に研磨されていても、ウェーハの過剰研磨域と不足研磨域との間の差異を識別することはできない。
【0010】
米国特許第5,972,787号に記載されている別の先行技術では、ウェーハ上にインジケーター領域が設けられている。これらのインジケーター領域は、所与の金属CMP処理の標準的な消耗品セット(パッド/スラリー)を使ってディッシング加工されてしまうように、既存の基本原則を乱すように選定された、線幅とパターンの因子を変化させた平行な金属線のブロックで形成されている。ブロックは、検査され、研磨の範囲が決定される。この技術がウェーハのある領域の研磨を指示している場合は、CMPステップが中断され、検査が行われることになる。更に、インジケーター領域は、既に複雑な製作過程に追加の段階を加えるブロックの形成を必要とする。
【0011】
更に、高速、高性能で省エネルギー型の超大規模集積回路(ULSI)を製造するために、究極の技術として銅(Cu)ダマシン処理が出現している。銅ダマシンでは、CMP処理が、余分な銅及びバリア材(一般的にはTa、Ti、TaN又はTiN)を除去し、層間誘電体(ILD、一般的にはSiO又はポリマー)内の溝の内側に相互接続部を形成するのに用いられる。銅ダマシン処理は、CMP処理を更に複雑にする。Cuの材料除去率はパターンの形状に依るところが大きい。非均一なパターンレイアウトは、通常、ダイ領域に亘る非均一な研磨の原因となり、その結果、Cu率の高い領域は部分的に過剰研磨となり、柔らかいCu線部がディッシング加工されることになる。過剰研磨とディッシングによるCu損失と表面の非均一性は相互接続部の信頼性に影響を及ぼすので、最小に留めなければならない。更に、初期Cuコーティングの非均一性、処理パラメーターの空間的変動(速度、圧力、スラリー輸送等)及びプロセスのランダムな変動は、ウェーハ内及びロット内の研磨の非均一性を増大させることになる。その結果、CuのCMPの完了時間又は終点が変動することになり、処理収量にも影響がでる。研磨出力(均一性、過剰研磨及びディッシング)の変動を少なくするためには、原位置感知及び終点検出技術を処理最適方式と統合して、処理性能を向上させることが望ましい。
【0012】
銅CMP処理におけるウェーハレベルでの終点は、ウェーハ上の所定の数(又はパーセンテージ)のダイで余分なCu及びバリア層が完全に除去された時であると定義することができる。研磨は非均一なので、通常は、ウェーハ上の全ダイが同時に終点に達することはなく、幾つかのダイは過剰に研磨されることになるかもしれない。そうなると、CMPの終点は、規格外れ(不足又は過剰研磨の何れか)のダイの数が最小となり、処理収量が最大となる最適研磨時間を表すということになる。しかし、各ダイ領域に残っているCuの厚さをリアルタイムで測定して終点を判定するのは難しい。先行技術による原位置感知技術の殆どは、Cu/バリアが除去される瞬間を検出するのに、摩擦力、Cu/バリア材料のイオン濃度、表面の電気インピーダンス等の変化のような間接的な方法に依存している。しかし、これらの方法は、信頼性の欠如と、実施の際の高い雑音対信号比の問題により制約を受ける。更に、これらの技術は全て、比較的大きな領域(通常はウェーハレベル)に亘る平均的な情報しか提供しないし、ウェーハ内及びダイレベルの均一度を感知する能力に欠けている。従って、確実に終点が検出できるようにするには、これらの方法は、別の基本的な計測法に付属する補助的な方法として利用できるに過ぎない。
【0013】
最近では、多重積層フィルムの厚さ測定に光音響技術を使用する可能性が研究されている。2つの光学励起パルスをコーティングの表面でオーバラップさせると、干渉パターンが形成される。フィルムに光が吸収されると、逆伝播音響波が生じる。音響周波数を測定することによって、フィルムの圧さを計算することができる。しかし、この方法は、ビームサイズよりも遙かに大きな寸法を有するブランケット領域に限定される。パターン化された領域上の薄いCuフィルムにおける音響波の生成と伝播をモデル化するのは難しい。従って、この方法は、現在のところ、ブランケット領域としてシミュレートすることのできるブランケットウェーハ又は大型パターンの測定に限定されている。
【0014】
全ての終点検出技術の中では、光学感知技術が最も上手くゆくことが分かっている。最上層と下部層の表面からの光の干渉に基づいてフィルム厚さを測定するのに、干渉法の技術が利用されている。これは、誘電体層のような透明なフィルムの測定には適しているが、不透明な金属フィルムには有効でない。理論上は、表面の形状及び表面上の金属領域率を検出するのに、反射率測定を用いることができる。更に、パターン化された表面の反射率はパターンの形状に影響されるので、この測定によって表面の平坦度とディッシングに関する情報を入手することもできる。反射率技術には期待できるが、実用的な終点検出システム及び方法を提供するためには十分な開発が必要である。
従って、CMP処理の間に、ウェーハ表面の局域を継続的且つ原位置でモニターできる改良された方法及び装置が必要とされている。
【0015】
(発明の概要)
本発明の目的は、CMP処理の間にウェーハ表面の局域をモニターするための原位置方法及び装置を提供することである。
本発明のもう1つの目的は、ウェーハの異なる領域における研磨の進行状況を継続的にモニターし、ウェーハの表面から対象材料を除去する際の終点を決定するのにも使用できる方法及び装置を提供することである。
【0016】
本発明の更なる目的は、ウェーハ上の異なる材料の間の反射率の差異を利用して、ウェーハ表面の選択された領域における研磨の進行状況及び/又は終点をモニターするための原位置方法及び装置を提供することである。
【0017】
本発明の更に別の目的は、ウェーハの様々な表面領域の反射率をモニターし、前記領域の研磨プロセスを制御して、研磨の間に実質的に均一な金属の除去を達成する原位置方法及び装置を提供することである。
本発明の更に別の目的は、表面状態をモニターし、銅ダマシンCMP処理の終点を検出するための原位置方法及び装置を提供することである。
【0018】
本発明の上記及びその他の目的は、第1直径を有する回転研磨プラテン及び研磨パッドが、ウェーハキャリアに保持されているウェーハを研磨する化学機械研磨方法及び装置によって達成される。研磨プラテン及びパッドには窓が設けられており、前記窓がウェーハの下側を全域に亘って周期的に走査する。ウェーハが回転して窓を通過するときに、光ファイバーケーブルのような光学検出器が、窓を通してキャリアの表面に光を送り、窓を通して前記ウェーハ表面から光の反射を受け取るようになっており、反射光をモニターして、反射光の情報に応じてウェーハの局域における研磨処理を制御するための手段が設けられている。
【0019】
更に具体的には、化学機械研磨方法及び装置は、ウェーハ表面に対応する領域又は区域を画定する中心及び同心圧力チャンバ又は区画を設けた膜を有するウェーハキャリアを備えている。中心及び同心の区画に加えられる圧力を制御するためにアクチュエータが設けられ、それによって対応する領域それぞれにおけるウェーハ表面からの対象材料の除去速度を制御するようになっており、アクチュエータは、各領域で受け取った反射光に応じて係合されるようになっている。
【0020】
本発明の別の態様では、研磨パッドと、複数のチャンバを有し各チャンバ内の圧力を独立して変化させ各チャンバをウェーハの対応する局域に押し付けるウェーハキャリアとを備えたCMP機を提供する段階と、ウェーハの各局域で研磨の間にウェーハ表面の反射率を測定する段階と、その反射率データを処理して各局域内の研磨状態を判定する段階と、対応する各局域内の研磨状態に応じてそれぞれ各チャンバ内の圧力を独立して調節する段階とから成る化学機械研磨の方法が提供されている。
【0021】
(発明の詳細な説明)
本発明の上記及びその他の目的及び特徴は、添付図面を参照しながら以下の説明を読めば、更に明白に理解頂けるであろう。
本発明の発明人は、化学機械研磨(CMP)の間に半導体ウェーハ又は基板上の局域における対象材料の除去を原位置モニタリングするための方法及び装置を発見した。特に、本発明の方法及び装置は、ウェーハ表面上のある局所又は局域内の、導電性材料、絶縁性材料、バリア材料のような異なる材料間の反射率の差異を検出する。反射率の差異を利用して、各局域で最上部又は或る塊の材料が除去されたことを表示する。好適な実施例では、この情報を使って、CMP処理のリアルタイム制御を行う。
【0022】
具体的には、図2及び図3は、本発明のある実施例による、回転するプラテン21と、ウェーハキャリア(図示せず)で保持されている回転するウェーハ22とを含むCMP機の一部を示している。プラテン21には研磨パッド23が取り付けられており、その上にはCMP処理中に研磨スラリーが掛けられる。本実施例のCMP機は、ウェーハの表面から、導電性又は絶縁性材料である表面材料を除去するのに使用される。ある実施例では、表面材料は金属であり、金属をウェーハ表面から除去すると、絶縁層のトレンチ内に埋め込まれた導体が残る。導電性材料は、適した導体であれば、アルミニウム又は銅など何でもよい。絶縁性材料は、適した絶縁材であれば、ドープ処理されていない二酸化珪素、ボロンかリン又はその両方でドープ処理された酸化珪素、或いは低誘電率材料など何でもよい。更に、本発明は、導電性又は絶縁性材料を除去して、TaNなどのバリア材料を露出させるのにも利用できる。更に、バリア層を除去するのに使用してもよい。ある実施例では、本発明は、図1Bに概略的に示されているような構造を製作するために表面金属の除去状態を検出するための方法を提供する。本発明は、導電性材料(通常は金属)と絶縁性材料との間の反射率の差異を利用してウェーハの平坦化の進展具合をモニターし、どの局域が材料除去に近付いているかを判定して、研磨処理の終点を決定する。
【0023】
CMP処理をモニターするため、導電性材料と絶縁性材料との間の反射率の差が観察される。半導体デバイス内のリード線に用いられる好適な導電性材料はアルミニウム及び銅で、波長約1ミクロンの光の場合、その約90−95%を反射する。図8に、銅、アルミニウム、シリコン及びタンタルに関して、反射率を波長の関数で示す。図8から分かるように、酸化珪素など大多数の絶縁材料は、同じ波長で25−30%を反射する。反射率におけるこの差を利用して、研磨処理をモニターする。CMP処理では、研磨前はウェーハ全表面を金属が覆っているので、ウェーハ表面からの反射率は約90%と推定される。CMP処理が完了すると、露出した表面は絶縁材料とトレンチ内の金属導体とが混合している状態なので、研磨後の反射率は低下し、ある例では約26−60%の範囲にある。この数字は汎用目的に対してのみ言えるのであって、導電性材料と絶縁性又はバリア材料との間の反射率の実際の差は、主にウェーハ表面の材料の種類や、パターン及びパターン密度によって変化するということに注目しておかねばならない。一般的に、パターン化されたウェーハ上の金属線の密度が低いほど、反射率の値は低い。本発明のある代表的な実施例では、導電性材料の反射率と、CMP処理が所定の領域で完了に近づいているか、又は実質的に完了していることを示す反射率値との差は、約65%にまで達することが観察されている。繰り返すが、反射率の実際の差は、例えば材料の種類、材料が塊であるか又はパターン化されているかということ、パターン密度、光の波長、ウェーハの表面仕上げ(反射率を低下させるかもしれない)など多くの要因によって変化する。
【0024】
本発明は、光学検出システム、好ましくは光ファイバー反射システムを使用している。図3及び図6に本発明の一例を示すが、光ファイバーの束26が、発光ダイオードのような光源27から、光をセンサーチップ28へ送る。束26内の別の光ファイバーは、ウェーハ表面から反射した光を光検出器29へ送るが、光検出器29は、演算増幅器32と、キャパシタ33及び抵抗器34を備えた低域フィルターとを含む増幅器システム31に接続されている。演算増幅器からのアナログ出力は、アナログ対デジタル変換器36に掛けられ、その後、本明細書に記載する方法でデジタル信号を処理する処理システムへ送られる。このような光ファイバーシステムは、フィルテックD64センサーシステムなど、市販されている。
【0025】
好適な実施例では、光放射ファイバーと受光ファイバーは並行に配置され、バンドル26内に不規則に分布しており、一般的には、ウェーハ表面に垂直に向けられているが、別の方向でも構わない。本発明によれば、発光ダイオードは、ウェーハ表面上の特定の材料の間の反射率の差異を最大化する波長で光を放出するよう選択される。銅層が除去されると、介在する二酸化珪素層内に配置されている銅のリード線が露出してくるような例では、発光ダイオードは、望ましくは、反射の差異が最適となる範囲内にある、約880nmの波長で発光するように選択される。当業者には理解頂けるように、導電性材料と絶縁性材料との間に最適な反射率の差異を提供する波長は材料の種類に依って変わるが、そのような波長は本発明の教示に基づいて求めることができる。
【0026】
反射率の読みの変動を最小化するには、センサーチップ28とウェーハ22との間の隙間距離「g」が重要である。従って、本発明のセンサーホルダーは、隙間を調整できるよう好適に設計されている。ある例では、センサーホルダーは、ナットの付いた剛体ハウジングで構成され、このナットにねじ付きセンサーチップをねじ係合し、捻るだけでセンサーチップ28とウェーハとの間の隙間が簡単に上げ下げ調整できるようになっている。ウェーハ表面に対する調整ができる剛体構造を形成できるのであれば、この他のセンサーホルダー構成を使用してもよい。
【0027】
図7は、代表的な実施例のセンサーの特性であるが、図示のように、隙間距離「g」を増大させると、隙間の変動の影響を小さくすることができる。具体的には、各センサーはある隙間距離である電圧を示し、これは実験で求めることもできるし、センサーの製造業者から入手することもできる。曲線の傾斜が平坦になる隙間距離を選択するのが望ましい。フィルテックセンサーを用いる代表的な実施例では、隙間距離「g」は約200から250ミルの範囲内にあるのが望ましく、約200から225ミルの範囲にあるのが更に望ましい。ウェーハ表面の反射率を測定するのに特定の実施例を1つだけ示しているが、適していれば他のセンサーを使用してもよい。しかし、そのセンサーは、光をウェーハに放射し、反射光を集め、処理用に出力信号を提供できるものでなければならない。
【0028】
CMP処理で原位置モニタリングを行うため、本発明の方法及び装置は、センサーチップを回転プラテン内に形成された少なくとも1つの窓36の中に挿入して、図3に示すように研磨の間ウェーハを観察する。発光ダイオード検出器と増幅器の付いた光ファイバー束が、プラテンと共に回転するように取り付けられている。好適なスリップカプリング(図示せず)を使って、アナログ信号を回転インタフェース経由でアナログ対デジタル変換器36へ送る。回転プラテン内に複数の窓を設けて、それぞれにセンサーチップを挿入し、同時に複数の場所を観察できるようにしてもよい。複数のセンサーを利用する場合は、当技術分野で既知のサンプリング技術を使って信号を処理する。窓は、センサーチップを適切に収容できさえすれば、形状寸法はどの様なものでもよいが、フットプリントが小さくて研磨処理への影響を最小にするのが望ましい。
【0029】
窓36は、研磨の間にウェーハの所望する領域を通過するよう、任意の所望位置に配置することができ非常に好都合である。好適な実施例では、ウェーハと窓の中心間オフセット距離は、センサーチップがウェーハの中心を通過する走査弧内でウェーハを観察できるよう選択されている。図5に示す走査ライン37は、ウェーハの中心を通過する走査弧の一例を示している。研磨は軸対称なので、ウェーハの中心から或る距離で反射強度を測定すると、半径が等しい領域では全て等しくなるものと期待できる。研磨が軸対称である場合、センサーがウェーハの中心を通過して移動する限り、どの環状領域でも他の全ての半径位置に関する研磨レベルを推定することができる。
【0030】
代わりに、中心間オフセットを変えることによって、及び/又はウェーハキャリアとプラテン両方の回転速度を変化させることによって、異なる走査弧軌道を選択することもできる。例えば、10%までの回転速度オフセット(即ち、ウェーハキャリアとプラテンの間の速度差)であれば、ウェーハを横切る軌道を「ステップ」することができる。
【0031】
光学検出システムは、研磨環境から保護する必要がある。これは、単数又は複数の窓36を、研磨パッド23内に、パッド表面と同面又はパッド表面から僅かに後退した位置に設けることにより達成される。窓は、摩擦特性がパッドと同じで、ウェーハ表面への損傷を防げるようになっているのが望ましい。
【0032】
本発明は、或る局所又は局域でCMP処理のモニタリングを行い、非常に有用である。具体的には、ウェーハ表面に複数の領域が定義され、その領域に対応して膜内にウェーハと係合する領域が形成される。この領域は環状であるのが望ましいが、どの様な形状でもよい。図4及び図5は、これら領域の一例を概略的に示したもので、詳しくは同時係属出願第   号(弁理士事件整理番号第A−69175/MSS)に記載されているが、その中では、区画化された膜を備えているウェーハキャリアがウェーハ上面と係合し、ウェーハを研磨パッドに押しつける。この例では、区画又はチャンバは同心の輪であり、環状領域を画定し、ウェーハと研磨パッドの間の圧力は、ウェーハに隣接するこれら環状領域によって制御される。従って、環状領域内の圧力を変化させることによって、ウェーハの研磨速度は、各環状領域に対応するウェーハ上の局域単位で制御される。
【0033】
更に具体的には、詳しくは上記同時係属出願に記載されているが、ウェーハキャリアは、ウェーハと係合し、ウェーハを研磨パッドに押しつける可撓膜を備えている。図4は、そのようなウェーハキャリア41を概略的に示したもので、ウェーハキャリア41は、内部に同心の区画43が形成され、それがシールされて複数のチャンバ又は空洞46を画定する膜42を備えている。チャンバ46は、中心チャンバ47を1つ又は複数の外側チャンバ48が同心輪状に取り囲んで形成されている。これらチャンバは、環状領域又は区域として画定されている。各チャンバは、ウェーハ22の下面と個々に係合し、ウェーハ表面上に、隣接する環状領域に対応する各局域を画定している。ウェーハ22に加えられる圧力は、図4の矢印P−Pで示されている各チャンバ内の圧力によって、独立して制御される。その結果、ウェーハ表面上の同心領域又は区域48は、対応するチャンバ46内の圧力を制御することにより異なる速度で研磨できるようになる。図には4つの領域を示しているが、適していれば2つ又はそれ以上どの様な数の領域を設定してもよい。更に、領域の形状は環状に限らず別の形状でもよいが、外側領域は環状であるのが望ましい。好適な実施例では、膜は、1つの円形の中心領域と3つの環状同心領域で構成される4つの領域を画定する4つのチャンバを含んでいる。
【0034】
センサーは、研磨中にウェーハを横切って移動する際、1つ又は複数の同心表面領域に対応するウェーハの部分の研磨の進行状況をモニターする。ウェーハの中心垂直軸周りに同心のパターンでは、研磨時にウェーハが回転するので、ウェーハ表面の材料が非均一に除去され易い。センサーは、中心から所定の距離だけ離れてウェーハの状態を検出するので、半径の等しい全ての箇所で、同じ反射率測定値が想定されている。後に詳しく説明するが、異なる領域内のウェーハ表面の状態に関するこの情報が制御システムに送られ、制御信号が生成され、この制御信号が、必要に応じてウェーハ背面の対応するチャンバ内の圧力を選択的に制御して、CMP処理の間にウェーハレベルの非均一性を選択的に低減する。
【0035】
更に、センサーは、特に表面材料が銅である場合、層の平坦化又は除去の直前にウェーハの表面材料層に生じる起伏形状の変動に起因する散乱の影響に、敏感に反応する。これら起伏形状の変動は、研磨の間で除去の前に平坦になると予測され、結果として反射率信号が増大することになる。本発明のある実施例では、この情報を使って、研磨の間にウェーハ表面の平坦度を確認し、更にプロセスパラメータを修正して、研磨がより効果的及び/又は効率的に行えるようにする。最初は、低圧にして平坦化を進め、反射率信号が上がって平坦度の上がったことが示されると、処理を高圧力高速度に修正して除去速度を上げる。これによって全体の研磨時間を短縮することができる。このように、本発明は、CMP処理のモニタリングに加えて、フィードバック制御を行ってCMPプロセスパラメーターを調整するための方法及び装置を提供する。
【0036】
本発明のもう1つの態様では、CMP処理の望ましい終点が、研磨の間に原位置で検出される。CMP処理をモニターし終点を判定するのには、様々な方法を利用することができる。ある例では、CMP処理の終点は、センサー信号を所定の閾値と比較して決定する。図10に、理想的な信号と、金属コーティング(銅ブランケットウェーハ)の除去の間に取得された実際の信号とを比較している。最初、導電性の銅層が除去されたとき、次には、バリア層が除去されたときに、反射率に顕著な低下が見られる。実験結果は、理想的なセンサー信号と実際のセンサー信号との間の合理的な相関関係を示している。従って、それぞれの材料及びパターンの種類に対して反射率値の閾値を決定し、それを使って、研磨の間に受信される実際の信号と比較することができる。所定の領域で閾値に達すると、対応する膜チャンバの圧力が低減又は排除され、その領域が更に研磨されるのを防ぐ。
【0037】
更に、閾値に加え、最新のウェーハ処理から各領域内の全体圧力プロフィールを利用して、次のウェーハを制御することもできる。この制御システムは「フィードフォーワード」又は「ランツーラン」制御システムと呼ばれる。この型式のシステムは、次に研磨されるウェーハが、先のウェーハと同じ場所又は領域内で同様な起伏形状と材料除去特性を呈すると想定している。従って、同じ圧力プロフィールをチャンバに加えて、同じ研磨処理を実行する。
【0038】
図9は、本発明の方法と装置を使って行ったテストの実験結果を示している。ブランケット銅層を有しているウェーハを研磨した。研磨は、ブランケット銅層が除去され、TaNのバリア層が現れるまで行った。図9は、時間(t)におけるウェーハ上の研磨経路をパラメータとして、受光反射率をウェーハの位置(単位はインチ)の関数として示している。様々なことが観察できる。第1に、材料除去は、ウェーハの中心周りにほぼ軸対称に行われる。ウェーハの中心は最後に研磨される局域であり、ウェーハのエッジは、他の領域よりも早く研磨される。この情報を使って、先に述べたように圧力プロフィールを作り、フィードフォーワード又はランツーラン制御を行うこともできる。具体的には、ウェーハ上の局所位置(即ち領域)に対応する各チャンバ間で圧力を変えて、所望の材料除去を達成する。例えば、研磨処理中のある選択した期間、ウエーハのエッジに対応する最も外側のチャンバ内の圧力を下げ、この領域の材料除去速度が速いことに対処する。圧力は徐々に下げられるので、この領域の研磨は続けられるが、速度は低下する。代わりに、圧力は一定に保つが、その領域では低い値となるようにしてもよい。逆に、この特定の実施例では中心が最後に研磨される領域なので、ウェーハの中心位置(又は領域)に対応する中心チャンバに掛かる圧力を上げ、処理全体を通して圧力を一定に保つか、或いは両方の技術を組合せて使用してもよい。
【0039】
図11は本発明で用いられる制御システムの一例のブロック図を示している。制御システムは、主に、プロセス制御器50、圧力分布制御器52、センサー25及びウェーハデータベース54で構成されている。プロセス制御器50は、プロセスパラメータ又は処方を設定しているデータを受け取り、CMP機56へコマンドを送ってCMP処理を制御する。更に、プロセス制御器50及びCMP機56には、先に述べたようにウェーハキャリアの膜チャンバ内の圧力を制御する圧力分布制御器52が接続されている。
【0040】
圧力分布制御器52は2つの経路を通してデータを受け取る。先ず、圧力分布制御器52は、ウェーハ上の各領域の反射率測定値を表すデータを、センサー25から直接受け取る。圧力分布制御器52は、反射率測定値を受け取り、各領域内の(必要ならば)適切な圧力調整を決定し、CMP機へ信号を送って対象領域内の圧力を適宜選択的に調節するよう構成されているハードウェアとソフトウェアを備えている。センサーからの反射率データは、ウェーハデータベース54にも送信され、記憶される。
【0041】
別の実施例では、各領域毎に所定の圧力プロフィール値及び/又は閾値が、ウェーハデータベース54内に記憶される。これらの値は、次に、プロセス制御器50又は圧力分布制御器52へ送られる。圧力分布制御器は、これらの値を、センサー25からの実際のリアルタイム反射率値と比較し、信号をCMP機56に送り、各領域内の圧力を適宜調節する。ウェーハ58の研磨前の厚さ及び/又はウェーハ60の研磨後の厚さのような追加データは、ウェーハデータベースに送られ、適切な圧力調節の決定を支援する。
【0042】
本発明の又別の実施例では、CMPのプロセスをモニターし制御するのに、モデルベースの検出を使用している。具体的には、モデルベースの制御は、最も効果的且つ効率的な処理を目指してCMPのプロセスをより良好に個別設定するために、CMPプロセスパラメータのリアルタイム調整を行う。上記検出システムは、ウェーハの各局部に実質的に均一な研磨を実現するため、各領域内の圧力を選択的に制御することに主眼を置いている。これにより、ある区域に過剰研磨が発生し他の区域に研磨不足が生じるような事態は最小限に抑えられる。
【0043】
モデルベースの検出及び制御システムは、センサーから受信した反射率信号内の散乱量を評価する。先に述べたように、本発明の発明人は、散乱の程度がウェーハの表面層の起伏形状を示していることを発見した。信号の散乱の程度は、標準偏差及び平均の変化、並びに分布の形状を求めるなど統計技術に基づいて評価することができる。高レベルの散乱が見られる場合は、CMP処理をもっと良好な平坦化が得られるよう調節することができる。平坦化が進むにつれて、表面層の起伏形状は平坦になり始め、信号の散乱が減少する。こうなり始めると、CMP処理を再び調整して、ウェーハ表面から材料を除去する速度を上げることができる。これらのプロセス調整は、例えば、相対速度及び適用される圧力プロセスパラメータを変化させることによって行うことができ、この様な調整は、適宜各領域内で選択的に行うことができる。このように、反射率信号の散乱の程度を、材料除去速度、及びウェーハ上のある局域におけるウェーハの研磨状態のインジケーターとして利用し、この情報を使ってCMPプロセスパラメーターを調整することができる。
【0044】
本発明の又別の態様では、化学機械研磨の方法が提示されている。本方法は、概説すると、研磨パッドと、複数のチャンバを有し各チャンバ内の圧力を独立して変化させ各チャンバをウェーハの対応する局域に押し付けるウェーハキャリアとを備えたCMP機を提供する段階と、ウェーハの各局域で研磨の間にウェーハ表面の反射率を測定する段階と、その反射率データを処理して各局域内の研磨状態を判定する段階と、対応する各局域内の研磨状態に応じてそれぞれ各チャンバ内の圧力を独立して調節する段階から構成されている。
【0045】
具体的には、ある実施例では、本発明の方法は、図12のフローチャートに示すように実行される。CMP機を準備し、ウェーハ研磨がステップ100で始まる。CMP機は、先に述べたようにウェーハ上に各領域を画定するチャンバを有している可撓膜のような、ウェーハの各局域に対する圧力を変化させるための手段を備えている。しかしながら、本発明は、この特定の構成に限定されるわけではなく、ウェーハの各局域において圧力を独立して制御することのできる他の手段を利用してもよい旨理解頂きたい。
【0046】
圧力を局所的に制御してウェーハ上の局所的な材料除去速度を制御するため、ステップ110では、従来型の手段を使ってセンサー位置がモニターされる。ステップ112で、反射率信号が測定され記録される。ステップ114で、信号測定値が領域毎に分類される。次にステップ116a―116dで、各領域の反射率信号が処理される。先に述べたように、信号の処理は様々な方法で実行することができる。例えば、反射率信号は、閾値又は圧力プロフィールと比較される。ステップ116a―116dにおける信号の処理の出力に基づいて、何れかの局域内で圧力調整が必要か否かに関しステップで決定が行われる。ステップ116a―116d(代表的な実施例では4つの領域)で、各領域に照会が行われ、ステップ118a―118dで照会が肯定であれば圧力が下げられる。
【0047】
図13は、方法、特に処理ステップを詳細に示している。この方法はステップ130で始まり、ステップ132でウェーハを研磨する。研磨の間、ウェーハ上の様々な領域における反射率が、ステップ134で測定される。ステップ136でデータが収集されると、反射率データ測定値は、センサーの位置に従って領域毎に分離又は分類される。次に、分類されたデータは個々に処理される。ある例では、分類されたデータは、ステップ138で、処理されて各領域内の平均反射率が計算され、ステップ140でデータが記憶され、ステップ142でフィルタリング平均が得られる。更に、同じ反射率データが処理されて各領域内のデータの標準偏差が計算され、ステップ144及び146でフィルタリング平均が得られる。ステップ148で標準偏差に関するデータが記憶される。処理ステップ142及び146の両方から送られる移動平均値は、ステップ150で先の予測又は閾値と比較される。この値が何れの領域でも異なっていない場合は、調整無しで研磨処理が続行される。何れかの領域又は全ての領域でこの値が異なっている場合は、各領域内の圧力が、ステップ152で適宜独立して調整される。全領域で(先の予測又は閾値と比較して)終点を示す反射率データが提示されれば、研磨処理が停止する。
【0048】
本発明の又別の態様では、ウェーハの表面状態が判定され、特に、代表的実施例に示されているように、ブランケット及びパターン化された銅ウェーハの表面状態が評価される。
【0049】
図14、15A、15Bに示す周期性波形表面による光の散乱は、多くの研究者によって研究されてきた(1907年レイレイ、1933年エッカート、1963年ベックマン及びスピッチーノ、1965年ウレトスキー、1975年及び1981年デサント)。パターン形状の、散乱による表面反射率に及ぼす影響を理解してもらうために、重要な式とその解について本節で吟味する。式1に示されている周期性表面Sにより散乱している平面波の問題を考えるが、ここにz=h(x)である。E及びEは、入射及び散乱フィールドを示すこととする。入射光(電気)フィールドEは、単位振幅であると仮定すると、以下のように表され、
【数1】
Figure 2004514273
ここに、kは入射光波(k=2π/λ)の波数、θは入射角度、ωは角周波数(ω=2πf)、tは時間である。定時の散乱フィールドに限れば、exp(−iωt)は更に簡単にすることができる。表面上方の何れの観察ポイントPでも、散乱フィールドEは、ホルムホルズの積分(1963年ベックマン)によって以下のように表すことができ、
【数2】
Figure 2004514273
但し、
【数3】
Figure 2004514273
ここに、rは所与の観察ポイントPと表面上の任意のポイント(x、h(x))の間の距離であり、kは散乱波の波数(k=k=2π/λ)である。ポイントPは、フラウンホーファー領域、即ちr→内にあって、球面散乱波ではなく平面散乱波に焦点を合わせるように想定されている。式2で散乱フィールドEを解くためには、全フィールドE及びその境界面上の正規導関数∂E/∂nを特定する必要があり、以下(キルヒホッフの方法)のように近似することができ、
【数4】
Figure 2004514273
及び
【数5】
Figure 2004514273
ここに、γは平坦面の反射係数であり、nは対象ポイントにおける表面に垂直な単位ベクトルである。反射係数γは、局所入射角度及び表面材料の電気的特性だけではなく、入射波の偏波にも依存する。簡潔にするために、以下の分析では、表面は完全に導電性であり、即ち水平偏波ではγ=−1(入射面に対して垂直な電気ベクトル)と仮定する。
【0050】
式2は、正弦波表面パターンのような、特定の周期性表面プロフィールに関して積分することができる。
【数6】
Figure 2004514273
ここに、Δhはステップ高さの半分であり、Λは形状のピッチである。散乱フィールドも、x方向に沿って同じ周期Λで続くので、全表面に亘ってではなく1周期内の積分を計算することによって、式2の積分の項は簡素化される。更に、問題の周期性は、散乱フィールドが異なるモードにおける平面波を表すフーリエ級数の重ね合わせとして書けることを示唆しており、そこでは、各モードθ2mの反射(散乱)角度が以下の関係(回折方程式)に従う。
【数7】
Figure 2004514273
【0051】
ゼロモードはθ=θである正反射の状態を示しており、散乱平面波の方向は、鏡面角度からより大きなmへ離れてゆくことになる。ファーフィールドにおける各モードθ2mの主方向における散乱フィールドに関する解は、式3、4、5、6、7を式2に適用し、面積分(−LL)を行うことによって得られる。反射係数γは、コーティングの光学特性と局所入射角の関数として表せ、積分計算することができる。計算結果は、散乱係数φ(=E/E20)を定義する鏡面E20上で反射されるフィールドで正規化することができ、以下(1963年ベックマン)のように書くことができ、
【数8】
Figure 2004514273
ここに、Jはベッセル関数、s=2πΔh/λ(cosθ+cosθ)、nは比L/Λの剰余部分である。式8は、正しく、各モードの一次散乱角における散乱係数を与える。角度θにおける全ての方向に関して、結果は以下のように与えられ、
【数9】
Figure 2004514273
ここに、p=(L/λ)(sinθ−sinθ)、s=2πΔh/λ(cosθ+cosθ)、nは比L/Λの整数部分である。ファーフィールド(フラウンホーファー領域、即ちr→)では、式1に示されているように、散乱平面波の1つのモードだけを(θ方向の)所与のポイントPで観察することができる。式1に示されているように、ニアフィールド又はフレネル領域内では、E20によって正規化されたPにおける全散乱フィールドは、隣接する周期性表面から与えられる全散乱モードを重ね合わせることによって与えられる。全散乱フィールドを計算するには、式8及び9によって与えられる各モードの振幅及び方向の両方と、各モード間の位相差を考慮しなければならない。実際に、全散乱フィールドの計算は複雑で、測定表面近くに配置されているセンサーについて数値計算を行う必要がある。Δh/λ比が一定のピッチΛで増大するときは、拡散散乱の生じることが示されている(1952年、ブレクホフスキー)。光は、正反射の方向から離れる方向に散乱され、即ち、光はより高い散乱モード(より大きなm)の方向に反射され、センサーでは受光されない。従って、反射フィールドの振幅の二乗に比例する表面反射率は、形状のステップ高さΔhが入射光の波長に匹敵するか又はそれよりも大きいΔhである場合は、低下する。逆に、表面が平坦化され、即ちΔh≒0である場合、表面反射率は鏡面の反射率に近くなる。更に、エネルギー保存の法則に基づき、全体の散乱係数φは、常に1又は1未満でなければならない。
【0052】
散乱フィールドの可能なモード数mは、α=sinθが1未満であるという条件により制限されることに注目して頂きたい。2π/kL(又はλ/L)が1に近い場合、即ち、波長がパターンのうねりに近い場合は、1つのモードしかなく、表面はその粗さに関係なく鏡のように反射する。現在の設計に使われているサブミクロンのCuパターンでは、匹敵するか又はより大きな波長を有する光源によって処理の終点の開始点で測定される反射率は、基本的にCu領域の割合だけを示すことになる。過剰研磨及びディッシングによる僅かな表面の起伏は、反射率に殆ど影響しない。式2に示すように、表面反射率は反射係数の二乗に比例するが、終点の開始点における合成表面の表面反射率Rは、従って、以下のように書くことができ、
【数10】
Figure 2004514273
ここに、AはCu相互接続の面積割合であり、Rcu及びRoxideは、Cu及びTEOSそれぞれの正反射における反射率である。
【0053】
回転しているウェーハ表面上のセンサーの軌跡は、式3に示すように、ウェーハに対するセンサーの相対速度とセンサーの初期位置とによって決まる。回転しているウェーハ上のセンサーの相対速度は、2つのステップ、即ち、ウェーハの中心に固定されている静止X、Y座標に対するセンサーの相対速度を求め、次にウェーハ回転に関する座標変換を行うことによって求めることができる。X、Y座標での、センサーの速度成分νX,s及びνY,sとウェーハの速度成分νX,w及びνY,wとは、図2に示すように表される。
【数11】
Figure 2004514273
及び、
【数12】
Figure 2004514273
ここに、rはプラテンの中心からのセンサーのオフセット、rccはウェーハとプラテンの中心間オフセット、ω及びωはウェーハ及びプラテンの角速度、θはX座標に対するセンサーの角度である。ウェーハ回転に加えて、実際にはウェーハは、パッドの表面全てを活用するため、速度
Figure 2004514273
で、プラテン中心に対して並進運動、所謂スイーピングを行っている。分かり易くするために、スイーピングはX座標に沿っているものとする。従って、X、Y座標におけるセンサーのウェーハに対する相対速度の成分νX,R及びνY,Rは、以下のように書くことができる。
【数13】
Figure 2004514273
【0054】
これらの速度成分は、最初ウェーハの中心に配置されており、ウェーハと同じ角速度ωで回転するものとして、回転座標システム(x,y)を使って表すこともできる。回転座標での速度成分νx,R及びνy,Rは下記座標変換則、
【数14】
Figure 2004514273
を使って、以下のように書くことができる。
【数15】
Figure 2004514273
【0055】
従って、回転x、y座標に関するウェーハ上でのセンサーの変位は、式15a及び15bで速度を積分することによって与えられる。
【数16】
Figure 2004514273
【0056】
所与の時間におけるウェーハ表面上のセンサー位置について式16a及び16bを解くには、初期条件を指定しなければならない。センサーは、最初は、固定X座標に対する初期角度θでウェーハのエッジに配置されていると仮定すると都合がよい。分かり易くするために、研磨の際にスイーピング運動は起こらず、即ち
Figure 2004514273
と仮定する。実際、スイーピング速度が、パッドに対するウェーハの線形速度よりかなり低い場合、スイーピング運動がウェーハを横切るセンサー軌道に及ぼす影響は無視することができる。この様に仮定すると、ウェーハ上のセンサーの位置は以下のように表すことができる。
【数17】
Figure 2004514273
【0057】
条件x+y<r(rはウェーハの半径)が満たされている限り、センサーはウェーハ/パッドの接触界面の内側にある。ウェーハは研磨の間プラテンと対峙しているので、式16及び17で与えられるセンサー軌道は、ウェーハの裏側から観察される。表面側の軌道は、式16及び17からの結果とy軸に関して対称である。
【0058】
ウェーハとプラテンの角速度は等しく、即ちω=ωで、式17a及び17bは更に簡単にすることができ、センサーの軌跡は、半径がrccで、回転x、y座標に関して中心が(rcosθ,rsinθ)にある。
【数18】
Figure 2004514273
【0059】
ウェーハとプラテンの角速度が同じ場合、図17に示すように、センサーは、ウェーハ周辺の同じポイントでウェーハ/パッドの界面に入り、ウェーハ表面上に同じ軌跡を形成する。実際には、ウェーハの角速度はプラテンとは僅かにずれていて、センサーがウェーハの表面全体を異なる半径方向で走査できるようになっていなければならない。図18は、ω=1.05ω及びr=rccの条件に対するセンサーの軌跡を示しており、ウェーハにスリップが生じなければ、20の理想的な軌跡が、ウェーハエッジ周辺に等間隔に配置された20の点からそれぞれ反復して始まる。図示のように、サンプリング密度は、ウェーハの中心で非常に高く、多くのダイが配置されているエッジでは低い。エッジにあるダイのサンプリング密度が低いと、全体的表面状態に対し偏った推論を行うことになるかもしれない。望ましい表面領域で十分なデータをサンプリングするためにセンサーの軌跡をどのように設計するかについては、後で詳細に述べることとする。
【0060】
研磨の間のウェーハの表面状態は、リアルタイムの反射率データから抽出することができる。表面状態を推測するために利用される統計には、反射率データの最大及び最小反射率値、範囲、平均値、偏差、分布の形状などが含まれる。データセットからは、ウェーハレベル、ダイレベル、デバイス又はサブダイレベルを含む3レベルの情報を得ることができる。センサーのスポットサイズは、サブダイ面積と同じか又はそれよりも小さいが相互接続部の寸法よりはかなり大きくなるように選定される。従って、個々の測定値はウェーハ上の特定のデバイス又はパターン領域の反射率を表しており、これから表面の起伏形状及びCu面積割合を推測することができる。しかし、実際には、ウェーハがキャリア内でスリップするので、測定結果を、特定のデバイス又はパターン上にマッピングするのは難しい。個々のデータは、大まかに定義された領域内の表面にマッピングできるに過ぎない。同様に、ダイレベルの情報は、軌道上のダイ位置に対応する特定のセグメントに沿ったサンプルに基づいて得ることができる。しかし、その情報は、関心対象ダイ領域近傍の表面状態を大まかに表しているだけである。都合のよいことに、ウェーハ中心までの半径が同じ各ダイに関する研磨結果は、同じ傾向を呈することが多い。従って、同じ半径上にある隣接するダイから送られてくるデータは、組み合わせて、特定の半径上にあるダイに関するサンプルサイズを増やし、半径方向における材料除去の空間依存性を明確にすることができる。
【0061】
又、ウェーハレベルの情報は、ウェーハを横切る1回の走査、複数回の走査の何れからでも検索することができる。実際に終点検索を行う際には、複数の軌跡から十分なサンプルを取って、この組合せ(又は、プールされた)データセットから、ウェーハ表面の特定の領域又は全体に亘る表面状況を判定できるようにするのが望ましい。使用する軌跡の数が多いほど、表面上でサンプルを均一に且つ大きなサイズのサンプルを捕捉することができる。従って、高レベルで推論を行うことができる。唯一の懸念は、多数回の走査の長いサンプリング期間の間に表面状況がかなり変化することである。これは、推論の信頼性に影響を与え、意志決定とフィードバック制御を遅らせることになる。この欠点を取り除くために、移動平均方法を用いて表面の平均反射率を見積もる。センサーは、プラテン1回転毎に1回ウェーハ表面を走査する。各時限はプラテン1回転の所要時間に等しいものとし、i番目の時限に軌跡に沿うj番目のポイントでサンプル採取された反射率をxijと表す。各軌跡に沿って合計n個のポイントが取られ場合、j番目の時限
Figure 2004514273
における軌跡に沿う平均反射率は以下の式で与えられる。
【数19】
Figure 2004514273
【0062】
ウェーハ表面全体又は関心対象領域をカバーするための軌跡数をwとすれば、i番目の時限におけるサンプリング反射率の移動平均Mは以下のように定義される。
【数20】
Figure 2004514273
【0063】
即ち、i番目の時限では、ウェーハの全体又は関心対象表面の平均反射率を見積もるのに、最新の1回の走査と先の(w−1)個の走査が使われる。従って、反射率測定値から推測される表面状態は各走査毎に更新できる。例えば、センサーは、ω=1.05ωの条件で、ウェーハを約10回の走査でカバーする。プラテンが75rpmで回れば、表面全体を走査するのに8秒かかり、その間に軌跡はウェーハに対して180度回転し、最初の位置に回転して戻るのに16秒かかる。移動平均は、短時間、この場合は1秒未満の間の、表面起伏形状の変化とCu領域割合の変化の両方に依る表面反射率の変化を捉えることができる。しかし、これは、最新のデータと先のデータ(この例では8秒かかっている)を平均化することによって、終点の開始点付近のウェーハ表面の小さな部分で部分的に酸化物が露出するために生じる急激な変化を平準化することにもなる。
【0064】
一方、i番目の時限S における表面反射率の(全)分散は、移動平均に用いたのと同じプールされたデータセットに基づいて見積もることができる。
【数21】
Figure 2004514273
ここに、Nは移動平均サブセットのサンプルの合計数(N=wn)である。全分散は、移動平均によって見積もられる、ウェーハ全体又は関心対象表面の合計見積もり平均に対する各サンプリングポイントにおける反射率の偏差に基づいて計算される。(全)分散に加えて、各軌跡に沿う分散、データの範囲、その最大値及び最小値を追跡して、バリア又は酸化物層が露出したときの表面反射率の急激な変化を識別するのを支援しなければならない。これを使って、処理終了時の、ウェーハ表面上の過剰研磨面積の割合を求めることができる。更に、データの分布を使って、研磨の方式を決定することもできる。例えば、研磨時のデータ分布の歪度を、所与のパターンレイアウトとセンサーの運動学に基づいて見積もることのできる終点における理論値と比較することもできる。歪度βの定義は、多くの統計学テキストに記載されており、以下のように定義することができる。(1982年ザックス)
【数22】
Figure 2004514273
ここに、
Figure 2004514273
は、それぞれ、選択されたデータセットの平均、メジアン、サンプル標準偏差であり、1つの軌跡又は複数の軌跡から見積もることができ、式19、20、21から計算することもできる。これらの統計値は、表面状態に関するダイレベルの見積もりにも適用できる。例えば、特定の半径の範囲(環状領域)内で集められたデータを組み合わせ、同じ統計的方法を使って、特定領域の表面反射率を見積もることができる。これら方法それぞれの終点検出に関する有効性は、議論の章で検討することにする。
【0065】
以下の実験は、説明の目的で行ったものに過ぎず、本発明の範囲を限定する意図は全くない。発光ダイオード(LED)と、送受光用のグラスファイバーの束と、増幅器とから成る光学センサーユニット(フィルテックD64)を使って、表面反射率に基づくウェーハ表面の状況を検出した。センサーの仕様を表1に示す。
表1:反射率センサーの仕様
Figure 2004514273
【0066】
図19に示すように、LED光源のスペクトルは775nmから990nmの範囲にあり、平均は約880nm、標準偏差は約60nmである。センサーチップでは、非平行光線は送信ファイバーから外向きに発散し、ファイバーの束と同じ直径約1.6mmの領域内の反射光だけが受光される。具体的なスポットサイズは、ウェーハ上の異なるパターン(サブダイ領域)の異なる表面状態を検出できるよう十分小さなサイズに選定された。しかし、材料除去は局所的(サブデバイスレベル)に不規則なので、反射率の僅かな変動を平坦にするため、個々の線又は造形よりも大きくなっている。光線は発散するので、センサーは、チップと目標表面との間の隙間に敏感である。図20は、隙間距離に対応する鏡面上のセンサー出力(反射率)の特性を表している。実際には、センサーは約5mmの距離で作動させたので、センサー応答は、研磨の間の隙間距離の僅かな変化や、ウェーハの表面うねりにそれほど敏感ではなかった。
【0067】
センサーユニットはプラテンベースに取り付け、チップはプラテンを貫通してホルダーの内側に埋め込んだ。プラテン上に積層したポリウレタン研磨パッドにプラチック(ローデルJR111)製の半透明の窓を設けて、センサーでウェーハ表面を観察できるようにした。窓の材料の摩耗特性をパッドの材料と同じにして、窓の表面がパッド表面の残りの部分と同じ高さになり、センサーの測定値や研磨の均一性に影響を与えないようにした。センサーは、回転カプリングによって電源及びデータ捕捉システムと連結した。出力信号は、カプリング前に増幅して、信号対雑音比を強化した。更に、オフラインセットアップを行って、研磨済みウェーハの表面反射率を測定した。角度読み取り器付の2つの回転ステージを使って、ウェーハキャリアとプラテンの回転運動による運動学を模擬した。ウェーハ上のセンサーの位置は、ウェーハとセンサー両方の回転角度と、2つの回転ステージの中心間距離とに基づいて求めた。このセットアップからの測定値と原位置感知からの測定値を比較することによって、スラリー及びウェーハスリップが反射率感知に及ぼす影響を識別した。
【0068】
センサーの能力を検証して検出方式を決定するため、プランケットCuウェーハとパターン化されたCuウェーハの両方を使って実験した。ブランケットCuウェーハは、Si基板上に20nmのTaNバリア層を設け、その後1μm厚さのPVD Cuコーティングを施したものである。パターン化されたウェーハには、テスト済みのダマシン構造を用い、線幅とピッチが異なるライン間隔構造のアレイで構成した。パターンの詳細なフロアレイアウトについては、前の章に記載している。このパターンは、100mmのシリコン基板上に1μmの深さまでエッチングしたトレンチを備えた1.5μm厚さのTEOSコーティングに転写されている。パターン化された酸化物表面の上には、20nmのTa層、続いて1μm厚さのPVD Cu層が堆積されている。実験条件は表2に示す通りである。
表2:実験条件
Figure 2004514273
【0069】
この節では、反射率感知技術の特性を研究するため、ブランケット及びパターン化されたCuウェーハの実験結果を検証する。研磨時に測定される平坦なCu領域の反射率は、表面の粗さ、スラリー粒子、研磨時のウェーハとセンサーの間の隙間の変動、及び様々なソースからのランダムなノイズがあるために、理論値からずれるかもしれない。これらの影響による表面反射率の変動を、ブランケットウェーハ研磨における測定値に基づいて研究する。更に、パターン化されたウェーハの研磨時の表面反射は、平坦化の方式における表面の起伏形状、及び研磨領域内の面積割合による影響を被り、これ等は測定値の変動に大きく寄与する。パターンジオメトリとCu面積割合が反射率に及ぼす影響を研究するため、オフライン測定と原位置測定の両方を実施した。単一波長、平面入射波、及び周期性表面構造という想定で、これらの結果を、光散乱理論から導かれる反射率と比較する。研磨の間のウェーハ全面又は所望領域の反射率の特性を検討して、異なるCuCMP方式による測定値を相関付ける。これらは、原位置感知及び終点検出に異なる方式を確立するのに役立つ。
【0070】
ブランケットウェーハのテスト
研磨の間のブランケットCuウェーハの表面反射率の典型的な結果が図に示されている。スラリーとスクラッチの影響を解明するため、正規化された平均反射率は、ウェーハを10回横切る際の平均反射率を、同じ圧力状況の下で(ウェーハ表面とセンサーとの間は同じ隙間で)のスクラッチの無いCuウェーハの反射率で除したものと定義する。初期段階では、反射率は、スラリーの無い場合よりも約30%低かった。この低下は、スラリー粒子からの光の散乱と、スラリー層が存在するため隙間距離が増大したことに依るものである。センサーは、隙間距離の変動に敏感でない範囲内で作動させたので、反射率の低下は、主に粒子の散乱に依るものである。正規化された平均反射率は徐々に下がり、0.1から30秒間の研磨の後約0.6まで下がり、標準偏差は、初期の僅かな値から約0.15まで増大した。これは、粒子の研削によって表面が粗くなったことを示している。その後、平均反射率と標準偏差は、約3分間一定のレベルに留まった。4分後、表面反射率の変動は、平均が変化することなく増大した。この段階でウェーハ表面を検査すると、Cuの極一部が取り去られ、殆ど反射しないTaNが表面に露出していた。表面の大部分はまだCuで覆われていたので、平均は著しく低下はしなかった。次に、平均が下がり始めて、Cuが除去されると共にこの変化は増え続けた。約6分でCuの大部分が取り去られるまで、標準偏差は下がり続けて、平均は徐々に更に低いレベルに到達した。硬いTaNバリアが研磨のストッパーのように作動し、Cuが全て除去された後、表面反射率の変動は低いレベルに維持された。2分以上過剰研磨した後では、TaN全体が研磨されており、平均反射率が更に下がった。
【0071】
パターン化されたウェーハのオフライン測定
表面起伏形状の反射率に及ぼす影響を図19及び図20に示す。これらのデータは、線幅が様々で、それぞれ一定の面積割合0.5及び0.01を有する中心ダイにおけるパターンをオフラインで観察したものである。正規化された反射率は、各サブダイで測定された反射率を、研磨されていないブランケットCu表面の反射率で正規化したものと定義する。これらダマシン構造(サブダイ)について、対応する段高さの変化を図21に示す。平坦化状況を拡張するため、産業界で実施されているより低い公称圧力(28kPa)及び相対速度(0.46m/s)を用いた。6分までに、高い部分は殆ど除去され、Cuが全体的に研磨される前に表面は平坦化された。面積割合0.5のパターンでは、初期の反射率の変動は、異なるサブダイの表面上の段高さとピッチの変動に起因していた。2、25及び100μmの線幅を有するパターンは、0.5μm構造のパターンを除いて、初期の段の高さがほぼ同じなので、反射率は主にパターンのピッチによる影響を被る。ピッチが小さいほど、より多くの光の散乱が表面に生じて反射率が低下する。これは、堆積プロセスで粗い微細構造となったために低い造形上に反射性のCu表面が少ないことで説明がつく。2分間の研磨の後、正規化された反射率は、段高さの減少と共に徐々に増大するのではなく、約0.1下がった。これは、粒子の研削によって表面の粗さが増大して、表面反射率の全体的低下に寄与したからである。しかし、0.5μmの線領域の反射率は、2分より前に表面が殆ど平坦化されていたので、増大した。
【0072】
反射率は、各パターン共、最初低下した後徐々に増大し、最終的には高い部分の平坦化によって定常値に到った。この傾向は、段高さが低くなると、光が正反射の方向に散乱しがちになって隣接する受光ファイバーに入り易くなる、と理論の項で説明されている。図22及び24に示すように、5分間研磨すると、各種造形の段高さは100nm未満となり、各種造形の正規化された表面反射率は、実験したウェーハでは、約0.85という同じ定常レベルに達した。これは、採用した光学感知技術が、表面起伏形状の小さな変化には敏感ではないということを示唆している。0.01面積割合のパターンの反射率も、表面粗さの増大によって約0.1まで下がり、その後、表面が平坦化されるまで同じ0.9レベルに留まった。面積割合が小さいので、表面反射率は、パターン起伏形状の変化にそれほど影響されず、測定値はブランケットCu表面の測定値と同様である。
【0073】
図22及び23は、平坦化、研磨及び過剰研磨という異なる処理状況にある、面積割合0.5及び0.01を有する様々なパターンの表面反射率の傾向を示している。対応するディッシングの進展状況を図24及び25それぞれに示す。適用した圧力と速度は、産業界で実施されているものに近い48kPaと0.79m/sとした。1分間の研磨後、殆どのパターンで表面起伏形状は平坦化され、正規化された反射率は実験した全パターンで同じ約0.9のレベルに達した。1分から3分の間に、平坦なCu層は、ブランケットCu研磨と同じように除去され、正規化された反射率は同じ約0.9で一定となり、元のパターン起伏形状とは無関係であった。約3分後、Cu層が完全に研磨され、反射の少ない下層の酸化物が部分的に表面に現れたので、反射率は大幅且つ急激に低下した。平面化率はパターンジオメトリに依存するので、面積割合の高いサブダイ領域は、速く研磨されてしまう。図22及び23では、面積割合が0.5と高いサブダイが最初に研磨されてしまい、約2分後にTaバリアが露出した。Taが露出し始めると同時に、反射率は低下し始めて約0.8まで下がり、その後酸化物表面が3分で露出したときには更に約0.5まで下がった。にもかかわらす、全ての実験したパターンは、2分から3分の間に酸化物露出が開始しているようである。
【0074】
酸化物露出の開始後、約4分間の研磨後に過剰なCu及びバリア(Ta)材料が全て除去される(即ち、処理の終点)まで、反射率は下がり続けた。終点後、反射率は、柔らかなCu線のディッシングや、隣接する酸化物領域に丸みが付いたり過剰研磨されたりすることによる起伏形状の僅かな増加には関係なく、一定に留まるようである。これも、使用した感知技術が段高さの小さな変化には敏感に反応しないという初期の結果に一致する。従って、この方式における反射率の変化は、主にCuの相互接続部の面積割合の差異に依存している。面積割合の高い領域ほど、一般的によく反射する。しかし、実験値は、全てのパターン、特に面積割合の高いパターンで、理論的な反射率の予測値よりも低かった。理論的には、面積割合が0.5及び0.01のパターンでは、ブランケットフィルムの実験測定値に基づいてRTEOS/RCu比を0.23と想定して、(正規化された)反射率がそれぞれ約0.62及び0.24であると予測している。実際には、酸化物を通して送られ、下層のSi基板から反射されてくる光はCu線によって遮断され、これが、酸化物表面からの反射光の強さを低下させ、サブダイの全体反射率を下げる。更に、Cu線の表面にはスクラッチ及び反射性の低いCu酸化物(腐蝕のために)が見られ、これも、特にCu面積割合の大きいパターンでは、表面反射率を下げる原因となっている。
【0075】
センサーの軌跡に沿うオフライン測定
平均値及び標準偏差についての、異なるセンサー軌跡に沿うオフライン測定値を図26に示している。使用したウェーハは前節に示したもので、通常状態で4分間研磨し、その間に、大部分のダイが終点まで研磨され、中には僅かに過剰研磨されたものもある。採用した軌跡は、センサーが半径rccの円弧に沿って移動する、ω=ω及びr=rccという条件で研磨する際のセンサーの軌道を追従するものである。異なる半径方向の軌跡を使って、パターン付けされたウェーハの表面反射率の統計値に異なる軌跡の及ぼす影響を解明した。ウェーハ全体に亘る反射率データの平均及び変動は、軌跡の方向によって変わることが判明した。平均値は、選択した軌跡の間で0.24から0.26まで変化し、これに比べ中心のダイの平均反射率は約0.25であった。標準偏差は1と1.2の間で変化し、中心ダイでは1.8であった。平均と標準偏差の変動は、主に、パターンレイアウトが軸対称でないためセンサーの軌跡が異なることと、ウェーハ内の非均一な研磨とによって生じる。ウェーハ内の非均一な研磨が、しばしば「目玉効果」(スタイン、1997年)のような軸対称様式を呈するのは珍しくない。従って、ウェーハレベルの非均一性による軌跡間の反射率の変動は、パターンレイアウトに起因するものに匹敵する。
【0076】
図27は、異なる研磨段階におけるオフライン測定セットアップによる、中心ダイ及びウェーハ全体に亘る表面反射率の平均と標準偏差を示す。異なる軌跡の影響は、複数の軌跡、例えばこの場合は均一にウェーハを横切る5つの異なる軌跡からのデータを組み合わせることによって最小化されている。ウェーハ内の非均一な研磨が表面反射率の変動に及ぼす影響は、これら2つのデータセット間の差を比較することによって求めることができる。研磨前は、CuのPVD処理に依る非均一なコーティングのため、ウェーハ全体に亘る平均反射率は、中心ダイより高い。パターンの段高さはエッジのダイでは低いので、エッジダイの平均反射率は、中心ダイよりも高くなる。従って、全体の平均反射率は、中心ダイの平均反射率よりも小さい。同様に、エッジダイの標準偏差は、Cu堆積が均一でないためにトレンチが浅いので、一般的に小さい。短時間の研磨の後、全体の平均は、中心ダイの平均反射率よりも低くなった。これは、エッジでは研磨速度が中心よりも速く、反射性の低いバリア及び/又は酸化物層がウェーハエッジで露出したからである。ウェーハ全体に亘る反射率の標準偏差も、表面の非均一度が上がると共に、中心よりも大きくなった。時間の経過と共に、エッジから中心に向かって、バリア及び酸化物層の露出が進行していった。ウェーハレベルの非均一度が増すに従って、2つの平均と標準偏差の間の差は連続して増大した。少々過剰研磨しても硬い酸化物層は表面の均一性を保持し、僅かなディッシングは反射率にそれほど影響を及ぼさないので、大部分のダイが終点に達するまでに、ウェーハ全体及び中心での平均表面反射率は同レベルにまで戻った。Cu/バリア材料の小さなパッチが残っているため、4分間のサンプルでの中心ダイの反射率の変動は大きくなる。実際には、反射率の全体平均と変動を、異なる表面領域(ダイレベル領域)と比較して、処理の終点が決定される。
【0077】
パターン付けされたウェーハの原位置測定
パターン付けされたCuウェーハ上の原位置測定の例を図28に示す。y軸は正規化された表面反射率の生データを示しており、この正規化された表面反射率は、測定された反射率を研磨前のブランケットCuウェーハの反射率で除したものと定義されている。実験では、ウェーハの角速度は、軌跡がウェーハ表面をカバーするように、プラテンの角速度から5パーセント(ω=1.05ω)ずらした。10回の通過による反射率の移動平均と、それら通過からプールしたデータに基づく標準偏差とを図29に示す。研磨時に測定された反射率は、スラリーによって光が散乱するので、オフライン装置からの反射率と比較すると低かった。反射率は、平坦化状態では約20%から25%下がったが、過剰研磨状態ではそれほど下がらなかった。平均は、研磨直後には表面が粗くなっているので、僅かに下がった。その後、平均は、先の段落で議論したように、表面が平坦化された後上がり始め、約1分で一定のレベルに達した。2分後に、表面にCuが露出したため、平均は再び下がった。Cuは、初期パターンレイアウトとコーティング厚さのばらつきが原因で均一に除去されなかったので、下層の酸化物が徐々に表面に露出して、平均は、前の例の中心ダイのような特定のダイのデータと比較すると、それほど急激には下がらなかった。ウェーハレベルの終点に達したのは、この実験では約4分で、平均は上がり続けたが、終点の後は過剰研磨とディッシングによる表面粗さの増加は徐々であり、上がる速度は低かった。スラリーの影響があり、終点を表示する明確なサインが欠如しているため、平均は、処理の終点の始まりに関するラフな示度として利用できるに過ぎない。
【0078】
図30は、10回の走査によって設定された移動サンプリングのプールされたデータの標準偏差を、時間に対してプロットしたものである。反射率の変動は、主にパターンジオメトリとCu面積割合が原因なので、通常、正規分布していない。相対頻度で見た正規化された反射率の分布を図31Aから31Eに示しており、比較のため、オフライン測定からの反射率の分布も破線で示している。標準偏差には2つのピークがある。第1のピークは、Cu平坦化状態の最小平均反射率に対応する処理の開始時に発生するが、これは初期表面起伏形状と表面を粗らすことによるものである。初期の分布形状は、ウェーハの初期表面起伏形状を示すオフラインで測定されたものと同じに留まっている。パターンの大部分が平滑化され、平均が最大値に達したとき、平坦化状態の標準偏差は最小値に達した。この段階の表面状態はブランケットウェーハの表面状態と同じである。表面反射率の変動は、表面粗さ、スラリーの散乱及び測定のランダムエラーに影響されるので、図31B及び31Cに示すように通常の様式を呈している。反射率の最大変動は、Cu除去状態の中間時点、即ちこの場合は約3分研磨した時に起こっている。図31Dでは、ピークが2つある幅広の分布が観察される。低い値に中心のある表面反射率のサブグループは、酸化物が露出するサブダイ領域を示している。粗いブランケット表面に近い平均を有する他方のサブグループは、高反射性のCu及び/又はTaバリア層がなお部分的に表面を覆っていることを示している。標準偏差は、最大になった後で、酸化物露出面積が増大するにつれ急速に減少する。標準偏差は、終点にかかると急に折れて、その後一定の低いレベルに留まる。先のオフライン測定で観察されたように、高反射性のCuが除かれるときに、表面反射率の変動は最小となっている。しかし、センサーの分解能はスポットのサイズに制限されるので、表面上の小さな金属のパッチを効果的に検出することはできない。実際には、全てのCu/バリア材料が確実に除去されるよう、短期間の過剰研磨が施される。終点の後では、標準偏差は、分布のゆがみに影響を与える、設計されたパターンレイアウト(局所的Cu面積割合)によって決まる。従って、表面反射率の変動は、過剰研磨及びディッシングによって表面起伏形状が少々変化しても、それほど変わらない。
【0079】
軌跡設計とサンプリング計画
効果的な計画を達成し、表面反射率の基本的な分布に関して信頼できる情報を提供するために、サンプリング方法は、センサー軌跡の設計とサンプリング頻度に大きく依存している。ダイレベルでは、非均一な起伏形状、Cu面積割合及び非対称レイアウトによる反射率の変動を検出するため、関心対象ダイ上に多くの軌跡を設定しなければならない。センサーの軌跡は、運動学に基づいて、ω、ω、r及びrccというパラメータによって決定される。ω=1.05ω及びr=rccである図5の例のような或る条件では、センサーは、中心ダイを何度も走査してカバーすることができるが、エッジにあるダイは唯の1回しか走査されないか、一度も走査されないこともある。エッジダイのサンプリング密度を改良する1つの方法は、ωとωの間のオフセットを減らすことによってウェーハの軌跡数を増やすことである。しかし、これはウェーハ表面上の1回転を走査する時間を増やすことになり、局部領域の反射率の急速な変化の検出を遅らせることになる。ウェーハのスリップ、凹部内側での回転及び並進運動も、狭い範囲内での速度オフセットの制御を非常に困難にする。実際に、ウェーハとプラテン速度の最小オフセットは、一般的に約3%から5%である。
【0080】
一方、ウェーハとプラテンの中心間距離rは、研磨の間に変化する。この「スイーピング運動」はウェーハ表面上の所望の領域をカバーするのに役立つ。図32は、ω=1.05ω及び
Figure 2004514273
でr=1.25rccである例を示しており、外側の領域だけがサンプリングされる。図18の中心の高いサンプリング密度と比べ、このサンプリング密度は遙かに高く、エッジ付近では均一である。実際には、先ずウェーハ全体を走査して全体の表面状態を大まかに判定し、次に局部の状態を良好に推察するため、高いサンプリング密度で関心対象の特定の半径にある領域を走査することができる。更に、2つ又はそれ以上のセンサーを、同一プラテン上の異なる半径r及び異なる角度(位相)に装着してもよい。軌跡を組み合わせれば、中心とエッジの両方の領域に関して、より高度に且つ均一に分布しているサンプリング密度を得ることができる。サンプリング計画を設計するためのもう1つの重要なパラメーターは、サンプリング頻度である。異なるサブダイ領域及び異なるダイの間の反射率の変動を検出するためには、センサー軌跡に沿って各サブダイから少なくとも1つのデータを取らなければならない。各パターン上で1回又は複数回の反復を行い、測定値のランダム変動によるエラーを減らすことが望ましい。100mmのパターン化されたウェーハを採用すると、約40個のサブダイが軌跡に沿って配置される(1つの軌跡に沿って10個のダイが配置され、各ダイ対角線上に4個のサブダイが配置されている)。各サブダイ領域で少なくとも1回の反復をすれば、テストでは合計で約100ポイントが必要となり、これは60rpmの典型的なウェーハ回転速度では100Hzのサンプリング速度に相当する。にもかかわらす、データ捕捉システムがもっと高いサンプリング速度を提供できる場合は、サンプルサイズはもっと大きくてもよく、ランダムエラーの影響を排除するためにもっと多くの反復を行ってもよい。
【0081】
表面反射率の分散成分
パターン化されたウェーハの表面反射率は、表面の粗さ、パターンの起伏形状と面積割合、及びコーティング材料の光学特性によって変化する。ウェーハ内の非均一な材料除去によって、研磨の間の表面起伏形状及びCuの残存割合は、ウェーハ上の異なるダイの間で変化する。ウェーハ内の非均一な研磨は、一般的には、非均一な速度分布、圧力分布、界面温度分布、スラリー流量及び接触条件のような一定の系統的原因から生じる(スタイン、1998年)。これが研磨に及ぼす影響は、常に系統的なパターンを辿り、同じロット内のウェーハの間で繰り返される傾向がある。一方、ウェーハレベルの非均一性は、同じダイ上のパターンの進展に同じ傾向で影響を与える。ウェーハレベルの非均一性に影響を及ぼす要因はダイ又はデバイスレベルの研磨挙動とは殆ど相互作用がないので、1つのダイ上の異なるパターンの間の材料除去に関する相対速度は、異なる場所の別のダイと同じ状態になる。例えば、ダイレベルの研磨は、主として、線幅及び面積割合のようなパターンジオメトリに影響される。従って、ダイの反射率測定値の変動は、同じ分布を辿る傾向があり、ダイ内にネストされる。この仮定に基づいて2レベルのネスティング分散構造を採用し、ウェーハ内及びダイレベルの非均一研磨の影響を分解する。各レベルにおける分散は正規分布していると仮定すれば、ウェーハ上のダイのiの位置jにおける反射率Rijは以下のように書くことができ、
【数23】
Figure 2004514273
ここに、μは複数の軌跡からのウェーハ内の平均反射率、Wはダイi上のダイツーダイ(又はウェーハ内)効果、Dj(i)はダイi上の位置jにおけるダイ内効果である。表面反射率の、合計ウェーハ内及びダイ内分散は、それぞれσ 、σ 、σ と表される。更に、ダイ内効果Dj(i)は正規であると仮定し、2レベルの分散成分は互いに独立していると仮定する。従って、合計反射率分散σ は以下のように書くことができる。
【数24】
Figure 2004514273
原位置測定されたデータに関して見積もられた分散成分S 及びS の分解の結果を図33に示している。各成分の値と、S /S で定義される30秒毎のF比とを表3に挙げており、これを使って表面反射率の変動に関わるウェーハ内非均一性の有意性を確認する。更に、同じ半径上にある全てのダイに関する研磨結果は同じであると仮定し、組み合わせてサブセットにしてダイレベルの変動を見積もる。研磨前のウェーハF比が高いのは、異なる半径におけるダイ内平均が異なっていることを示しており、ダイ間の平均差の確率Pr(F)(ウェーハ内非均一性の存在を示す)は約0.6以上である。これは、堆積処理による初期段高さのばらつきに起因している。ウェーハ内非均一性は、研磨が始まると低下し、合計変動に対して低いレベルに留まる。ダイ間に平均差があるという仮説の信頼レベルは20%未満である。これは、平面が研磨によって平坦化される(又は起伏形状がウェーハ全体に亘ってより均一になる)ということを示唆している。ウェーハレベル終点に到達した後、ウェーハ内分散及びF比は、非常に低いレベルに落ちることさえある(Pr(F)〜0)。これは、下層酸化物の表面がCuより硬く、表面の平坦性とウェーハレベルの研磨均一性を保持できるためである。一方、ダイ内効果は、処理を通して表面反射率の全変動に大いに貢献する。処理の終点は、Cu面積割合の劇的な変化の結果であるダイ内分散成分の変化に基づいて判定することができる。実際には、全分散を使ってダイ内分散を近似し、処理の終点を判定している。ウェーハ内非均一性の影響が小さければ、検出の精度には影響しない。
表3:表面反射率に関する2レベルネステッドモデルの分散に関する分析
Figure 2004514273
【0082】
更に、ウェーハ内の分散が、表面の非均一な反射率を示していることに注目して頂きたい。これは、残存Cu厚さの非均一性と直接に関連しているわけではない。しかし、表面状態の均一性を直接的に表している。この情報を使って、ウェーハ表面全体の状態と均一性をモニターすることができる。又、フィードバック制御ループでこれを使って、圧力分布や、ウェーハキャリアとプラテンの速度のようなプロセスパラメーターを調整し、研磨の均一性を高めることもできる。
【0083】
終点検出アルゴリズム
先の節で、Cu研磨の終点及び別の段階における表面反射率の特性を、ウェーハ全体に亘る反射率の移動平均、分布及び変動に関して議論した。これらの特性を使って、終点検出アルゴリズムを設計することができる。移動平均を使って、図29に示すように、表面反射率が或る閾値以下に下がる瞬間を検出することができる。閾値は、Cuの平均面積割合と、使用している波長に関する表面材料の光学特性とによって決められる。閾値は、スラリー散乱のランダム効果、表面粗さ及びランダムエラーのため、先の節で述べた理論平均反射率からずれるので、幾つかの基礎的なテストによる観察に基づき求めなければならない。更に、「真」のウェーハレベルの終点に対応してサンプル採取された反射率は、初期コーティング均一性における変動、プロセスパラメーターの変動、及びサンプリングと感知からのランダムエラーに関連する統計的分布に入ってくる。従って、受容可能な信頼レベルという点で移動平均Mが確実に所与の区間内に入るように、仮説検定を行わなければならない。表面反射率の真の変動は解っていないので、100(1−α)の信頼区間は、サンプル標準偏差Sに対する適切なスチューデント式tサンプリング分布を使って決定される(モンゴメリー、1996年)。
【数25】
Figure 2004514273
図34は、99.5%信頼レベル(α=0.005)での見積間隔による表面反射率の移動平均対時間の結果を示している。サンプルサイズNは非常に大きいので、見積もられた真の平均は、小区間に留められる。更に、閾値は履歴データからの基本的な分布も有している。2つの信頼区間の重なりから終点を求めるのは曖昧なこともある。閾値も、異なるチップレイアウト及び設計によって変わる。全ての変化又は新しいチップ設計に対して新しい終点検出方法を開発するのは時間が掛かる。
【0084】
移動平均と比べて、表面反射率の分散(又は標準偏差)は、終点を検出するための更にロバストな手段を提供する。図30において、分散は、終点の開始点で明確な変化を示している。終点は、分散曲線の傾斜と閾値レベルに基づいて決定することができる。Cuと酸化物との間の反射率の差は大きいので、時間に対する分散の変化は、通常、何れのチップ設計でも終点の直前で非常に急激なものとなる。高い選択性を有する酸化物は表面の均一性を保持するので、終点の後、表面の分散は低いレベルに留まる。同様に、分散は、所望の信頼区間に基づいて測定値から見積もることができる。表面反射率の真の分散σが解らなくても、100(1−α)信頼レベルを有する分散区間は、カイ二乗(χ)分布に基づいて以下のように与えられる。
【数26】
Figure 2004514273
【0085】
見積もられた分散は、重なっている短い期間内ではそれほど変化しないことが示されている。又、分散の閾値は、所与のパターン設計では実行の間ほぼ一定に留まる。従って、終点は、平均(移動平均)よりも分散情報に基づく方が、遙かに簡単に求められる。実際に、平均反射率に対する標準偏差の比を使って、図35に示すように、反射率の平均と分散に関する特性を終点検出に組み込むことができる。終点は、局所的な最小値として示されるので、スロープと信頼区間を計算する手間を掛けずに決定することができる。
【0086】
ウェーハレベルの終点に加え、各ダイの終点の開始点も、サンプリング軌跡をウェーハ表面にマッピングすることに基づいて求めることができる。異なる半径上の「環」のような異なる領域の表面状態も、ウェーハレベル終点検出に使用したのと同じ技術に基づいて求めることができる。サンプリング軌跡は、先の節で説明したように設計して感知領域と解像度を選択することもできる。更に、表面反射率の平均、分散、分布も、研磨処理の異なる段階に関する情報を提供する。Cuパターンが平坦化されたときには、分散と、分散対平均の比は最小になり、分布が正規分布になる。反射率の範囲は、下層の酸化物が露出し始めたとき、図36に示すように急激に増大する。分散対平均比は、表面上の余分なCuの大部分が取り除かれたときに最大となる。この情報を原位置感知技術の一部として組み込み、CMP処理の進行状況を判定することもできる。多段階から成る研磨処理では、この情報を使って、各段階の終点を判定してプロセス制御の能力を上げることもできる。様々な終点検出方式の有効性を確認するために、表2に挙げたのと同じ処理条件で実験を行った。図37に示されているように、標準偏差、標準偏差対平均比及び範囲が(ウェーハレベル)終点の開始点を示すと、すぐに研磨を停止した。ウェーハのピクチャを評価して感知システムが出した結果を確認し、Cuが表面か取り除かれたのを観察した。観察から識別するのは難しいが、厚い層よりも光に対して透明な極めて薄いTaバリアが表面に残っているかもしれず、光学センサーで検出することはできない。実際には、確実に全ての金属が完全に除去されるように、センサーが終点を検出した後、短期間の過剰研磨が施される。
標準名称−先の節では、以下の標準名称を用いた。
=金属パターンの面積割合
H=コーティング材料の硬さ(N/m
H’=合成表面の見かけの硬さ(N/m
h=ウェーハ表面から除去される材料の厚さ(m)
=初期コーティング厚さ(m)
=プレストン定数(m/N)
=摩耗係数
av=ウェーハ上の公称圧力(N/m
Figure 2004514273
r=厚さ測定値のランダムエラー(m)
t=実験継続時間(s)
=過剰研磨時間(s)
ν=ウェーハの相対線速度(m/s)
w=パターン線幅(m)
x,y,z=デカルト座標(m)
Δh=酸化物の過剰研磨(m)
δ=Cuのディッシング(m)
λ=パターンピッチ(m)
μ=ダイ上の平均的過剰研磨
φ=無次元のジオメトリ関数
ν=ポアソン比
【0087】
上記の説明と例で教示したように、本発明は、半導体ウェーハの化学機械研磨に関し改良された方法及び装置を提供する。本発明に関する上記特定の実施形態及び実施例の記述は解説と説明のために提示したものであり、本発明は先の例の幾つかで説明されてはいるが、それによって何ら制限されるものではない。上記例は、本発明を網羅し、或いは開示したものに限定する意図は無く、上記教示の観点から、多くの修正、実施形態及び変更が可能であることは明らかである。本発明の範囲は、本明細書に開示した包括的領域を包含し、特許請求の範囲に述べる事項とその等価物によって定義されるものとする。
【図面の簡単な説明】
【図1A】
トレンチの形成された酸化物コーティングが施されたウェーハ表面に、導電性相互接続材料が掛けられた状態を示している。
【図1B】
図1Aのウェーハの表面が研磨され、導電性相互接続材料がリード線となって残っている状態を示している。
【図2】
本発明による、ウェーハキャリアと観察窓とを備えた回転研磨プラテン及び研磨パッドの上面図である。
【図3】
本発明による、回転研磨プラテン、研磨パッド及びウェーハキャリアを示す部分断面図である。
【図4】
本発明の一実施例による、金属被覆ウェーハを取り付けたウェーハキャリアのダイアフラム式圧力パッドを示している。
【図5】
本発明による、同心環状領域を有するウェーハ表面と、ウェーハを横切る走査窓の経路とを概略的に示している。
【図6】
本発明の一実施例による、光学終点検出システムを概略的に示している。
【図7】
本発明のある代表的な実施例における、出力電圧を、光ファイバー束の端部とウェーハ表面の間の隙間の関数として示したものである。
【図8】
反射率を、様々な材料に対する波長の関数として示したものである。
【図9】
本発明のある代表的な実施例における、反射率を、様々な研磨時間におけるウェーハ位置の関数として示したものである。
【図10】
実際の反射率を、時間の関数として理想的な信号と比較して示した例である。
【図11】
本発明で使用することのできる化学機械研磨装置の一例としての、制御ループの概略ブロック図である。
【図12】
本発明の一実施例としての、反射率センサーから送られてくる出力信号の処理を示すフローチャートである。
【図13】
本発明の別の実施例による、様々なウェーハ領域における圧力の制御を示すフローチャートである。
【図14】
パターン付けされたCu表面上で散乱している光の概略図である。
【図15A】
平坦な複合表面から散乱していく光の概略図を示している。
【図15B】
波形の複合表面から散乱していく光の概略図を示している。
【図16】
本発明の一実施例による、センサーの運動学を示している。
【図17】
−W及びr−rcc状態にあるウェーハを横切る反射率センサーの軌跡をシミュレーションしたものである。
【図18】
−1.05W及びr−rcc状態にあるウェーハを横切る反射率センサーの軌跡をシミュレーションしたものである。
【図19】
本発明の一実施例による、Cu平坦化方式における、0.5面積率(w/λ=0.5)のパターン上でのオフライン測定の結果を示している。
【図20】
本発明の別の実施例による、Cu平坦化方式における、0.01面積率(w/λ=0.01)のパターン上でのオフライン測定の結果を示している。
【図21】
本発明の実験による、一定面積率0.5及び0.01のパターンにおける、段高さの時間変化を示している。
【図22】
0.5面積率のパターン上の、様々な処理方式でのオフライン測定の結果を示している。
【図23】
0.01面積率のパターン上の、様々な処理方式でのオフライン測定の結果を示している。
【図24】
一定面積率0.5で様々な線幅を有しているパターンの、Cuディッシングの時間進行を示している。
【図25】
一定面積率0.01で様々な線幅を有しているパターンの、Cuディッシングの時間進行を示している。
【図26】
終点の開始点における、ウェーハを横切る異なる軌跡に沿う表面反射率の平均と標準偏差のオフライン測定値を示している。
【図27】
様々な研磨ステージにおける、中心ダイでのオフライン測定値(平均と標準偏差)と、ウェーハを横切るオフライン測定値の比較を示している。
【図28】
本発明の実施例に従って行われた原位置反射率測定で得られた生データを示している。
【図29】
ウェーハレベルの表面反射率の移動平均と標準偏差に関する原位置測定の結果を示している。
【図30】
ウェーハレベルの表面反射率の標準偏差の原位置測定の結果を示している。
【図31】
図31A〜Fは、本発明の実施例に従って行われた原位置測定で得られた、表面反射率の分布対研磨時間の関係を示している。
【図32】
−1.05W及びr−1.25rcc状態にあるウェーハを横切る反射率センサーの軌跡をシミュレートしたものを示している。
【図33】
原位置測定に関してウェーハ内及びダイ内分散を分解して示している。
【図34】
99.5%信頼区間での見積間隔による、サンプル移動平均対時間の結果を示している。
【図35】
標準偏差の平均反射率(ウェーハレベル)に対する比の、原位置測定の結果を示している。
【図36】
表面反射率の範囲対研磨時間(ウェーハレベル)の関係を示している。
【図37】
様々な原位置感知及び終点検出方式に関する実験的確認を示している。

Claims (19)

  1. 化学機械研磨(CMP)装置において、
    第1直径を有する回転研磨プラテンと、
    複数のチャンバを有し、各チャンバ内の圧力を独立して変化させ前記各チャンバをウェーハの対応する局域に押し付けることができるようになっている、前記回転プラテンと協働してウェーハを保持するためのウェーハキャリアと、
    前記研磨プラテン内に形成され、ウェーハを横切って定期的に走査するようになっている少なくとも1つの窓と、
    前記窓が回転して前記ウェーハを通過するときに、前記窓を通して光を送り、ウェーハから反射される光を前記窓を通して受け取って、ウェーハ表面上の材料の反射率をその複数の局域において検出するための、前記プラテンに取り付けられている光学検出システムと、を備えていることを特徴とする化学機械研磨(CMP)装置。
  2. 前記反射率を利用して、前記複数の局域のそれぞれで独立して研磨を停止させるようになっていることを特徴とする、請求項1に記載のCMP装置。
  3. 前記反射率は、前記複数の局域それぞれにおけるウェーハの研磨状態を示していることを特徴とする、請求項1に記載のCMP装置。
  4. 前記ウェーハ表面上の複数の局域における材料の反射率を表す反射率信号を前記光学検出システムから受信する制御器を更に備えており、前記制御器は、前記反射信号を処理して前記各局域内の研磨状態を判定し、前記研磨状態の判定に応えて前記複数のチャンバそれぞれで圧力を独立して選択的に変えるように構成されていることを特徴とする、請求項1に記載のCMP装置。
  5. 前記複数のチャンバは可撓膜内に形成されており、中心チャンバが1つ又は複数の同心チャンバで取り囲まれて構成されていることを特徴とする請求項1に記載のCMP装置。
  6. 前記複数のチャンバは、1つの中心円形チャンバと、3つの環状同心チャンバとを備えていることを特徴とする、請求項1に記載のCMP装置。
  7. 前記光学検出システムは、センサーチップで終端している送光用及び受光用の光ファイバーの束を有している少なくとも1つの光ファイバーセンサーと、前記送光用光ファイバーを通して前記ウェーハ表面に光を送る光源と、前記受光用光ファイバーを通して前記ウェーハ表面から反射されてきた光を受け取る光検出器とを更に含んでいることを特徴とする、請求項1に記載のCMP装置。
  8. 前記送光用及び受光用の光ファイバーは、前記ウェーハ表面に実質的に垂直に向けられていることを特徴とする、請求項7に記載のCMP装置。
  9. 前記センサーチップは、前記ウェーハ表面から離れて配置され、隙間を形成しており、前記隙間の寸法は約200から250ミルの範囲内にあることを特徴とする、請求項7に記載のCMP装置。
  10. 前記光源は、約880nmの波長で光を発する発光ダイオードであることを特徴とする、請求項7に記載のCMP装置。
  11. 前記ウェーハ表面の材料は、導体、絶縁体、又はバリア材料の内の何れか、或いはそれらの組合せであることを特徴とする、請求項1に記載のCMP装置。
  12. 前記材料は、前記ウェーハ表面上でパターン化されていてもよいことを特徴とする、請求項11に記載のCMP装置。
  13. 前記窓は、前記ウェーハの中心を通って走査することを特徴とする、請求項1に記載のCMP装置。
  14. 半導体ウェーハの化学機械研磨(CMP)方法において、
    研磨パッドと、複数のチャンバを有し各チャンバ内の圧力を独立して変化させ各チャンバをウェーハの対応する局域に押し付けるウェーハキャリアと、を備えたCMP機を提供する段階と、
    前記ウェーハの各局域で研磨の間にウェーハ表面の反射率を測定する段階と、
    前記反射率データを処理して前記各局域内の研磨状態を判定する段階と、
    前記対応する各局域内の研磨状態に応じて、それぞれ前記各チャンバ内の圧力を独立して調節する段階と、から成ることを特徴とする方法。
  15. 前記独立して調節する段階は、
    前記反射率の変化が前記域内で測定されたとき、前記各域内で独立して前記化学機械研磨を低減、又は停止させる段階を更に備えていることを特徴とする、請求項14に記載の方法。
  16. 前記反射率の変化が約25%から60%の範囲内にある場合は、その域内で前記化学機械研磨が低減、又は停止されることを特徴とする、請求項15に記載の方法。
  17. 前記反射率の変化が所定の閾値を超えた場合は、その域内で前記化学機械研磨が低減、又は停止されることを特徴とする、請求項15に記載の方法。
  18. 前記独立して調節する段階は、
    先の反射率測定値に従って、各域内で独立して前記化学機械研磨を低減、又は停止させる段階を更に備えていることを特徴とする、請求項14に記載の方法。
  19. 前記反射率データ内の散乱量を検出する段階と、
    前記局域における散乱量に基づいて、前記ウェーハ表面上の起伏形状変動の程度を判定する段階と、
    前記起伏形状変動に応じて、前記ウェーハ上の前記局域で前記研磨処理を制御する段階と、を更に備えていることを特徴とする、請求項14に記載の方法。
JP2002516606A 2000-07-31 2001-07-31 化学機械研磨における終点検出のための原位置方法及び装置 Pending JP2004514273A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/628,471 US6476921B1 (en) 2000-07-31 2000-07-31 In-situ method and apparatus for end point detection in chemical mechanical polishing
US25893100P 2000-12-29 2000-12-29
PCT/US2001/024146 WO2002010729A1 (en) 2000-07-31 2001-07-31 In-situ method and apparatus for end point detection in chemical mechanical polishing

Publications (1)

Publication Number Publication Date
JP2004514273A true JP2004514273A (ja) 2004-05-13

Family

ID=26946968

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002516606A Pending JP2004514273A (ja) 2000-07-31 2001-07-31 化学機械研磨における終点検出のための原位置方法及び装置

Country Status (9)

Country Link
US (1) US6798529B2 (ja)
EP (1) EP1322940A4 (ja)
JP (1) JP2004514273A (ja)
KR (1) KR20030025281A (ja)
CN (1) CN1466676A (ja)
AU (1) AU2001279126A1 (ja)
MY (1) MY128145A (ja)
TW (1) TW491753B (ja)
WO (1) WO2002010729A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006054358A (ja) * 2004-08-13 2006-02-23 Tohoku Univ 半導体を使用する電子部品の評価方法及び半導体を使用する電子部品の管理方法
WO2008032753A1 (en) * 2006-09-12 2008-03-20 Ebara Corporation Polishing apparatus and polishing method
WO2008044786A1 (en) * 2006-10-06 2008-04-17 Ebara Corporation Machining end point detecting method, grinding method, and grinder
KR101361875B1 (ko) 2005-05-26 2014-02-12 가부시키가이샤 니콘 Cmp 연마 장치에서의 연마 종료점 검출 방법, cmp연마 장치, 및 반도체 디바이스의 제조 방법

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020023715A1 (en) * 2000-05-26 2002-02-28 Norio Kimura Substrate polishing apparatus and substrate polishing mehod
US6799136B2 (en) * 2001-08-09 2004-09-28 Texas Instruments Incorporated Method of estimation of wafer polish rates
KR100434189B1 (ko) * 2002-03-21 2004-06-04 삼성전자주식회사 화학 기계적 연마장치 및 그 제어방법
US6806948B2 (en) * 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
CN1302522C (zh) * 2002-05-15 2007-02-28 旺宏电子股份有限公司 一种化学机械抛光装置的终点侦测系统
DE10223945B4 (de) * 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Herstellung von Damaszener-Metallstrukturen
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7853904B2 (en) * 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
US20040038502A1 (en) * 2002-06-26 2004-02-26 Sethuraman Jayashankar Method of detecting chemical mechanical polishing endpoints in thin film head processes
US7042564B2 (en) * 2002-08-08 2006-05-09 Applied Materials, Israel, Ltd. Wafer inspection methods and an optical inspection tool
US7235488B2 (en) * 2002-08-28 2007-06-26 Micron Technology, Inc. In-situ chemical-mechanical planarization pad metrology using ultrasonic imaging
US6970043B2 (en) * 2002-10-29 2005-11-29 Fairchild Semiconductor Corporation Low voltage, low power differential receiver
US6676483B1 (en) * 2003-02-03 2004-01-13 Rodel Holdings, Inc. Anti-scattering layer for polishing pad windows
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP4219718B2 (ja) * 2003-03-28 2009-02-04 Hoya株式会社 Euvマスクブランクス用ガラス基板の製造方法及びeuvマスクブランクスの製造方法
US20050026542A1 (en) * 2003-07-31 2005-02-03 Tezer Battal Detection system for chemical-mechanical planarization tool
JP4174399B2 (ja) * 2003-09-24 2008-10-29 株式会社東芝 検査システム,検査方法,及び電子装置の製造方法
US7050880B2 (en) * 2003-12-30 2006-05-23 Sc Solutions Chemical-mechanical planarization controller
US7315642B2 (en) * 2004-02-12 2008-01-01 Applied Materials, Israel, Ltd. System and method for measuring thin film thickness variations and for compensating for the variations
US20050197721A1 (en) * 2004-02-20 2005-09-08 Yung-Cheng Chen Control of exposure energy on a substrate
EP1758711B1 (en) * 2004-06-21 2013-08-07 Ebara Corporation Polishing apparatus and polishing method
KR20060078252A (ko) * 2004-12-31 2006-07-05 동부일렉트로닉스 주식회사 트렌치 소자 분리막 평탄화 공정의 모니터 패턴
EP1808823A1 (de) * 2005-12-14 2007-07-18 Wincor Nixdorf International GmbH Einrichtung zum Überprüfen der Echtheit eines werthaltigen Mediums
US7849281B2 (en) * 2006-04-03 2010-12-07 Emc Corporation Method and system for implementing hierarchical permission maps in a layered volume graph
US8260035B2 (en) * 2006-09-22 2012-09-04 Kla-Tencor Corporation Threshold determination in an inspection system
JP4988380B2 (ja) * 2007-02-26 2012-08-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体製造装置
US20090181475A1 (en) * 2008-01-11 2009-07-16 Novellus Systems, Inc. Detecting the presence of a workpiece relative to a carrier head
DE102008021569A1 (de) * 2008-04-30 2009-11-05 Advanced Micro Devices, Inc., Sunnyvale System und Verfahren zur optischen Endpunkterkennung während des CMP unter Anwendung eines substratüberspannenenden Signals
US20090275265A1 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Endpoint detection in chemical mechanical polishing using multiple spectra
KR101013569B1 (ko) * 2008-12-30 2011-02-14 창익기계공업(주) 피딩장치 및 이를 구비한 휴대폰용 키패드의 원단 펀칭기
IT1399876B1 (it) * 2010-05-18 2013-05-09 Marposs Spa Metodo e apparecchiatura per la misura ottica mediante interferometria dello spessore di un oggetto
KR101822976B1 (ko) 2010-05-18 2018-01-29 마포스 쏘시에타 페르 아지오니 간섭법에 의해 대상물의 두께를 광학적으로 측정하는 장치 및 방법
IT1399875B1 (it) * 2010-05-18 2013-05-09 Marposs Spa Metodo e apparecchiatura per la misura ottica mediante interferometria dello spessore di un oggetto
WO2012071753A1 (zh) * 2010-11-30 2012-06-07 深圳市华星光电技术有限公司 金属蚀刻方法、金属蚀刻控制方法及其装置
CN102221416B (zh) * 2011-03-10 2012-10-10 清华大学 抛光液物理参数测量装置、测量方法和化学机械抛光设备
US8563335B1 (en) * 2012-04-23 2013-10-22 Applied Materials, Inc. Method of controlling polishing using in-situ optical monitoring and fourier transform
US9011202B2 (en) * 2012-04-25 2015-04-21 Applied Materials, Inc. Fitting of optical model with diffraction effects to measured spectrum
US9248544B2 (en) * 2012-07-18 2016-02-02 Applied Materials, Inc. Endpoint detection during polishing using integrated differential intensity
US20140078495A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Inline metrology for attaining full wafer map of uniformity and surface charge
US10513006B2 (en) * 2013-02-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. High throughput CMP platform
KR101699197B1 (ko) 2013-03-15 2017-01-23 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 프로파일 제어(ispc)를 이용한 동적 잔류물 클리어링 제어
US10309013B2 (en) * 2013-03-15 2019-06-04 Applied Materials, Inc. Method and system for identifying a clean endpoint time for a chamber
CN103394994B (zh) * 2013-07-18 2017-12-15 上海集成电路研发中心有限公司 一种晶圆的抛光方法
WO2015171752A1 (en) * 2014-05-06 2015-11-12 Applejack 199 L.P. Stress analysis of semiconductor wafers
CN104034765A (zh) * 2014-07-07 2014-09-10 中国船舶重工集团公司第七二五研究所 局部区域形貌扫描的电化学检测方法
CN105437076A (zh) * 2014-08-27 2016-03-30 中芯国际集成电路制造(上海)有限公司 晶片轮廓实时控制方法和系统
US11639881B1 (en) 2014-11-19 2023-05-02 Carlos A. Rosero Integrated, continuous diagnosis, and fault detection of hydrodynamic bearings by capacitance sensing
US9835449B2 (en) 2015-08-26 2017-12-05 Industrial Technology Research Institute Surface measuring device and method thereof
CN108608328B (zh) * 2018-07-06 2023-09-26 中国工程物理研究院激光聚变研究中心 抛光摩擦力的测量装置及其测量方法
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4959113C1 (en) 1989-07-31 2001-03-13 Rodel Inc Method and composition for polishing metal surfaces
US5094536A (en) * 1990-11-05 1992-03-10 Litel Instruments Deformable wafer chuck
US5069002A (en) 1991-04-17 1991-12-03 Micron Technology, Inc. Apparatus for endpoint detection during mechanical planarization of semiconductor wafers
US5499733A (en) 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5486129A (en) 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
JP3311116B2 (ja) 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
US5835225A (en) 1994-11-30 1998-11-10 Micron Technology, Inc. Surface properties detection by reflectance metrology
JPH08174411A (ja) 1994-12-22 1996-07-09 Ebara Corp ポリッシング装置
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5967030A (en) 1995-11-17 1999-10-19 Micron Technology, Inc. Global planarization method and apparatus
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5923408A (en) * 1996-01-31 1999-07-13 Canon Kabushiki Kaisha Substrate holding system and exposure apparatus using the same
AU2529997A (en) 1996-03-13 1997-10-01 Trustees Of The Stevens Institute Of Technology, The Tribochemical polishing of ceramics and metals
US6074287A (en) 1996-04-12 2000-06-13 Nikon Corporation Semiconductor wafer polishing apparatus
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
JPH1076464A (ja) 1996-08-30 1998-03-24 Canon Inc 研磨方法及びそれを用いた研磨装置
US6036587A (en) 1996-10-10 2000-03-14 Applied Materials, Inc. Carrier head with layer of conformable material for a chemical mechanical polishing system
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6056632A (en) 1997-02-13 2000-05-02 Speedfam-Ipec Corp. Semiconductor wafer polishing apparatus with a variable polishing force wafer carrier head
US5838448A (en) * 1997-03-11 1998-11-17 Nikon Corporation CMP variable angle in situ sensor
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6062952A (en) 1997-06-05 2000-05-16 Robinson; Karl M. Planarization process with abrasive polishing slurry that is selective to a planarized surface
US5985679A (en) 1997-06-12 1999-11-16 Lsi Logic Corporation Automated endpoint detection system during chemical-mechanical polishing
US5770103A (en) 1997-07-08 1998-06-23 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US5964653A (en) * 1997-07-11 1999-10-12 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US5888120A (en) * 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US5916016A (en) * 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US5953115A (en) 1997-10-28 1999-09-14 International Business Machines Corporation Method and apparatus for imaging surface topography of a wafer
US5985748A (en) 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US6531397B1 (en) 1998-01-09 2003-03-11 Lsi Logic Corporation Method and apparatus for using across wafer back pressure differentials to influence the performance of chemical mechanical polishing
US6068539A (en) 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6063306A (en) 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US5972787A (en) 1998-08-18 1999-10-26 International Business Machines Corp. CMP process using indicator areas to determine endpoint
US6046111A (en) 1998-09-02 2000-04-04 Micron Technology, Inc. Method and apparatus for endpointing mechanical and chemical-mechanical planarization of microelectronic substrates
JP4484370B2 (ja) * 1998-11-02 2010-06-16 アプライド マテリアルズ インコーポレイテッド 基板上のメタル層の化学機械研磨に関して終点を決定するための方法及び基板のメタル層を研磨するための装置
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6071177A (en) 1999-03-30 2000-06-06 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for determining end point in a polishing process
US6068549A (en) 1999-06-28 2000-05-30 Mitsubishi Materials Corporation Structure and method for three chamber CMP polishing head
US6776692B1 (en) * 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6290584B1 (en) 1999-08-13 2001-09-18 Speedfam-Ipec Corporation Workpiece carrier with segmented and floating retaining elements
US6476921B1 (en) * 2000-07-31 2002-11-05 Asml Us, Inc. In-situ method and apparatus for end point detection in chemical mechanical polishing
US6257953B1 (en) 2000-09-25 2001-07-10 Center For Tribology, Inc. Method and apparatus for controlled polishing

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4505634B2 (ja) * 2004-08-13 2010-07-21 国立大学法人東北大学 半導体を使用する電子部品の評価方法及び半導体を使用する電子部品の管理方法
JP2006054358A (ja) * 2004-08-13 2006-02-23 Tohoku Univ 半導体を使用する電子部品の評価方法及び半導体を使用する電子部品の管理方法
KR101361875B1 (ko) 2005-05-26 2014-02-12 가부시키가이샤 니콘 Cmp 연마 장치에서의 연마 종료점 검출 방법, cmp연마 장치, 및 반도체 디바이스의 제조 방법
KR101278236B1 (ko) 2006-09-12 2013-06-24 가부시키가이샤 에바라 세이사꾸쇼 연마장치 및 연마방법
WO2008032753A1 (en) * 2006-09-12 2008-03-20 Ebara Corporation Polishing apparatus and polishing method
JP5283506B2 (ja) * 2006-09-12 2013-09-04 株式会社荏原製作所 研磨装置および研磨方法
US8246417B2 (en) 2006-09-12 2012-08-21 Ebara Corporation Polishing apparatus and polishing method
JP5006883B2 (ja) * 2006-10-06 2012-08-22 株式会社荏原製作所 加工終点検知方法および加工装置
JP2010240837A (ja) * 2006-10-06 2010-10-28 Ebara Corp 研磨方法および研磨装置
US8554356B2 (en) 2006-10-06 2013-10-08 Ebara Corporation Processing end point detection method, polishing method, and polishing apparatus
WO2008044786A1 (en) * 2006-10-06 2008-04-17 Ebara Corporation Machining end point detecting method, grinding method, and grinder
KR101381341B1 (ko) * 2006-10-06 2014-04-04 가부시끼가이샤 도시바 가공 종점 검지방법, 연마방법 및 연마장치
US10207390B2 (en) 2006-10-06 2019-02-19 Toshiba Memory Corporation Processing end point detection method, polishing method, and polishing apparatus

Also Published As

Publication number Publication date
CN1466676A (zh) 2004-01-07
US6798529B2 (en) 2004-09-28
US20030045100A1 (en) 2003-03-06
EP1322940A1 (en) 2003-07-02
MY128145A (en) 2007-01-31
KR20030025281A (ko) 2003-03-28
TW491753B (en) 2002-06-21
WO2002010729A1 (en) 2002-02-07
EP1322940A4 (en) 2006-03-15
AU2001279126A1 (en) 2002-02-13

Similar Documents

Publication Publication Date Title
JP2004514273A (ja) 化学機械研磨における終点検出のための原位置方法及び装置
US8831767B2 (en) Methods and systems for monitoring a parameter of a measurement device during polishing, damage to a specimen during polishing, or a characteristic of a polishing pad or tool
US5910846A (en) Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US6476921B1 (en) In-situ method and apparatus for end point detection in chemical mechanical polishing
US9011202B2 (en) Fitting of optical model with diffraction effects to measured spectrum
KR101037490B1 (ko) 멀티-스텝 시퀀스에서의 금속 잔류물 검출 및 매핑용시스템 및 방법
KR20010078154A (ko) 연마 비율 변화를 통한 종점 모니터링
KR20130093099A (ko) 종료점 검출을 위한 2차원적인 스펙트럼 피쳐들의 트랙킹
KR101981814B1 (ko) 연마를 위한 모델 기반 스펙트럼 라이브러리의 생성
CN106471606B (zh) 利用沉积前测量的研磨
US20140242880A1 (en) Optical model with polarization direction effects for comparison to measured spectrum
Stein et al. Recent advances in endpoint and in-line monitoring techniques for chemical-mechanical polishing processes