JP2003522402A - シリコン本体にシリサイド領域を形成する方法 - Google Patents

シリコン本体にシリサイド領域を形成する方法

Info

Publication number
JP2003522402A
JP2003522402A JP2000571483A JP2000571483A JP2003522402A JP 2003522402 A JP2003522402 A JP 2003522402A JP 2000571483 A JP2000571483 A JP 2000571483A JP 2000571483 A JP2000571483 A JP 2000571483A JP 2003522402 A JP2003522402 A JP 2003522402A
Authority
JP
Japan
Prior art keywords
region
gate
metal
alloy
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000571483A
Other languages
English (en)
Other versions
JP4729175B2 (ja
Inventor
ソミット タルワー,
ガウラブ バーマ,
カール−ジョセフ クラマー,
カート ウェイナー,
Original Assignee
ウルトラテク, ステッパー, インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテク, ステッパー, インコーポレイテッド filed Critical ウルトラテク, ステッパー, インコーポレイテッド
Publication of JP2003522402A publication Critical patent/JP2003522402A/ja
Application granted granted Critical
Publication of JP4729175B2 publication Critical patent/JP4729175B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/24Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

(57)【要約】 本発明の方法は、シリコン本体またはその上に形成された集積電子素子への電気接触抵抗の低減を含んだ様々な目的に有用なシリサイド領域を、シリコン本体に作成する。本発明の方法は、例えばイオン注入を用いてシリコン本体にアモルファス領域を作成するステップと、チタン、コバルトまたはニッケルなどの金属をアモルファス領域に接触するように形成または配置するステップと、レーザのような光源からの強い光を金属に照射して、金属原子をアモルファス領域内に拡散させるステップと、を包含して、シリサイド組成物を有する合金領域を形成する。MISFET装置を製造する、本発明の方法の適用例では、好ましくは、少なくともMISFET装置のゲートのアモルファス領域に化学量論的な割合の金属およびシリコン原子を作成するのに十分な厚さで金属層が形成される。

Description

【発明の詳細な説明】
【0001】 (関連出願の相互参照) 本特許出願は、1997年1月29日に出願された、米国特許出願第08/7
91,775号の一部継続出願である。この出願において、指名された発明者、
および譲受人が同一である。
【0002】 (発明の背景) 1.発明の分野 本発明は、シリコン基板に形成された1つ以上のトランジスタ、または他の集
積素子にシリサイド領域を形成する方法に関する。シリサイド領域は、集積素子
への電気接続の接触抵抗を減少させ、素子が比較的高速で動作することを可能に
する。
【0003】 2.関連技術の説明 シリコン基板上に形成された集積素子接触のため、特に、金属酸化物半導体(
MOS)デバイスのためのシリサイド領域を形成するための多くの技術が開発さ
れてきた。上記技術のほとんどは、シリサイドがその上に形成されることが望ま
れるゲート、ドレインまたはソース領域上に金属層を形成することを必要とする
。そこで、上記技術は、長時間の熱処理を用いて、金属を、ゲート、ソース、ド
レイン、および露出されたランナーを構成するシリコンと反応させて、低抵抗率
のシリサイド領域を形成する。基板は、未反応金属層を取り除くことによってさ
らに処理される。シリサイド領域は、ゲート、ソース、および/またはドレイン
領域、ならびに露出されたポリシリコンランナーの上に形成される。
【0004】 シリサイドを形成する技術は、この技術が効果的であるために満たされなけれ
ばならない幾つかの厳しいプロセス制限を受ける。上記制限は、(1)シリサイ
ドを形成するために使用される金属およびシリサイドが形成される温度は、集積
素子のソースと、ドレインと、ゲートとの間にリーク経路の形成を防ぐために、
金属がシリコンに拡散するように慎重に選択されなければならないこと、(2)
自己整合(self−aligned)シリサイド化技術の場合、金属層は、ゲ
ートの自己整合側壁を構成する絶縁材料と反応してはならないこと、(3)低接
触抵抗が達成され得るように、ドーパントは、はっきりと分離してシリサイド領
域内に入ってはならないこと、(4)技術は、シリサイド領域がcシリコンおよ
びポリシリコンの両方の上に形成されることを可能にするプロセスウィンドウを
有していなければならないこと、(5)シリサイド形成は、シリコン中に存在す
るドーパントに敏感であるべきでないこと、および(6)接合リークの増加を防
ぐために、金属原子は、シリサイド領域を越えて拡散するべきではないこと、を
含む。上記の基準のすべてを同時に達成することは、ほとんどの従来のシリサイ
ド化技術の場合、特に、比較的広範囲な熱処理を使用する技術の場合、どう見て
も困難である。従来の技術をその比較的狭いプロセスマージン内で行うことが失
敗するのは、そのような技術により必要とされる比較的長い熱処理時間の間に金
属原子が所望の境界を越えて熱ドリフトを起こすことによる欠陥の発生において
である場合が最も多い。シリサイド領域がその設計寸法を超えて延びると、これ
は、ゲートと、ソース/ドレインと、基板との間にリーク経路を引き起こし得る
。従って、シリサイド化プロセスマージンを、従来可能であったシリサイド化プ
ロセスマージンよりも大きくする技術が非常に必要とされている。
【0005】 長時間の熱処理を使用する従来の技術に加えて、幾つかの従来のシリサイド化
技術は、イオン注入を用いて、シリサイド領域の形成を達成する。これらのイオ
ン注入シリサイド化技術は、異なるイオン種類のイオンビーム混合を用いて所望
の組成物のシリサイドを生成するか、または、適切な化学量論を達成するために
必要とされる割合での所望の金属イオン種の注入を用いるかのいずれかである。
上記2種類の技術のいずれにおいても、イオン注入は、シリサイドを作製するた
めに必要とされる化学量論比のイオンが、シリコン基板に注入されなければなら
ない場合には特に、非常に広範囲であり、非常に時間がかかる。さらに、広範囲
なイオン注入は、結果的には、「ノックオン」、即ち、移動しているイオンが以
前に注入されたイオンにぶつかり、それらのイオンを、所望の深さよりもさらに
深くシリコン基板内に押しやる現象、を引き起こす。ノックオンの発生は、接合
リークの増加につながる。従って、従来のシリサイド化技術の上記の不利な点を
克服し得る技術が、非常に必要とされている。
【0006】 上述の全てのシリサイド化技術の制約は、ゲートおよびポリシリコンランナー
のシリサイド厚さが、ソース/ドレイン領域上のシリサイド厚さと同じであるこ
とである。ソース/ドレイン接合がより浅い深さに減少されるにつれて、それに
従って、リークを防ぐために、ソース/ドレイン領域上のシリサイド厚さが低減
される必要がある。しかし、シリサイドの厚さの増減は、ゲート領域上では必要
ではなく、ソース/ドレイン領域上より、ゲート領域上で、シリサイドをより厚
くすることが有利である。このようなシリサイド層は、ソース/ドレイン領域上
で形成されるより、ゲート上で、より厚い金属層を堆積すること、または、より
高温にゲートをさらすことのいずれかによって形成され得る。これら2つの選択
は、従来のシリサイド形成技術を用いても実現不可能である。
【0007】 (発明の要旨) 本発明は、上記の不利な点を克服する。本発明の方法による好適な実施形態は
、シリコン本体にアモルファス領域を作成するステップと、金属層をアモルファ
ス領域に接触するように形成するステップと、金属層に光を照射して、金属をア
モルファス領域内に拡散させ、アモルファス領域から、シリサイド組成物の合金
領域を形成するステップと、を包含する。金属層は、化学量論的なシリサイドを
アモルファス領域上に形成するために十分な厚さで、アモルファス領域上に作ら
れ、照射のステップは、合金領域上に重なる金属が消費されるまで進む。合金領
域は、(特に溶融した液体状態では)金属層より高い反射率を有し、合金領域の
熱ローディングを低減する。上に重なる金属が消費される場合、合金領域のさら
なる熱ローディングを低減することによって、上に重なる金属の消費において存
在する合金領域の深さを超えて溶融することが、実質的に低減されるか、または
止められる。
【0008】 シリコン本体にアモルファス領域を作成するステップは、好ましくは、イオン
注入により行われる。シリコン本体にアモルファス領域が形成される深さは、注
入に使用されるイオン種の原子量、注入エネルギー、およびシリコン本体に注入
されるイオンの適用の選択により決定され、且つ、高精度に制御される。金属堆
積の前にアモルファス化注入が行われるため、金属原子のノックオンは問題では
ない。アモルファス領域上に金属層を形成または配置するステップは、好ましく
は、金属をシリコン本体上にスパッタリング、蒸着、または化学蒸着法により行
われる。金属は、チタン、コバルト、およびニッケルなどの多数の金属のうちの
1つであり得る。金属の厚さは、好適には、アモルファスシリコンから化学量論
的なシリサイドを生成するために少なくとも十分な厚さである。金属層を照射す
るステップは、好ましくは、アモルファス領域を溶融状態にするのには十分であ
るが金属層およびシリコン本体はそれぞれ固体状態のままであるフルエンスを有
するパルス化レーザ光を用いて達成される。照射ステップによって生成される熱
によって起こる、金属層からの金属の拡散によって、溶融したアモルファス領域
が合金領域になる。シリコン本体および金属層がそれぞれ固体状態のままでアモ
ルファス領域を溶融させるために、金属層に照射するために使用されるレーザ光
のフルエンスは、好ましくは、0.1〜1.0J/cm2の範囲である。上に重
なる金属層の消費における金蔵層に関係する合金領域の反射率を高めることによ
って、合金領域の熱ローディングが低減される。照射するステップについての適
切なフルエンスで、合金領域によって反射されるエネルギーが、合金領域のさら
なる成長を止めるために十分になり得る。照射するステップの後、合金領域は半
晶質状態にある。結晶性を高め、反射率を低減するため、本発明の方法は、また
、合金領域を、低い抵抗率を有するシリサイド領域に変換するように処理するス
テップを含む。好ましくは、処理ステップは、高速熱アニ−リングにより行われ
る。
【0009】 好適な実施形態では、本発明の方法は、金属−絶縁体−半導体電界効果トラン
ジスタ(MISFET)のゲート、ソース、ドレインおよび接続ランナーのため
の自己整合されたシリサイド接触を形成するために使用される。この実施形態に
おいて、この方法で形成された金属層は、素子のゲート領域、および好ましくは
、集積素子への電気接続を形成するランナーに、実質的に化学量論的なシリサイ
ドを生成するために十分な厚さである。金属層の照射は、ゲートおよび/または
ランナー領域上に重なる金属を消費するフルエンスで、溶融領域への金属原子の
拡散によって行われる。合金化は、ゲートおよびランナー領域の溶融の深さに対
してのみ起こる。金属層の消費において、ゲートおよびランナー合金領域が露出
される。金属イオンの拡散によって形成されるゲートおよびランナー合金領域の
反射率が金属層の反射率より高い場合、上に重なる金属層が消費される場合のゲ
ートおよびランナーに存在する合金の境界を越えて金属イオンの移動を防ぐため
に十分な程度まで、ゲートまたはランナー領域のさらなる熱ローディングが低減
される。従って、さらなる照射は、ソースおよびドレイン領域でのシリサイドの
成長が継続することを可能にし、ゲートまたはランナー領域における金属イオン
のさらなる移動を実質的に低減するか、または、止める。従って、比較的低い反
射率を有するより高く規定されたシリサイドが、集積素子のソースおよびドレイ
ン領域において、ならびにゲートまたはランナー領域において形成され得る。ゲ
ートの隣の高温ソース/ドレインの存在によって起こる熱トラッピングに起因し
て、ゲートにおける溶融は、ソース/ドレインにおけるアモルファス領域を完全
に溶融するために必要な、フルエンスでのアモルファス深さよりも深く進む。従
って、ある特定のフルエンス範囲に渡って、アモルファス化は、ソース/ドレイ
ン領域におけるシリサイド深さを制御し、金属の完全な消費は、ゲート上のシリ
サイド深さを制御する。ゲート上のシリサイドの厚さは、ソース/ドレイン上の
シリサイドの厚さより厚い。本発明の方法によって形成される集積素子は、従っ
て、比較的速い動作速度を有し得る。
【0010】 本発明の方法は、従来のシリサイド化技術に対して幾つかの利点を提供する。
例えば、本発明の方法では、シリサイド化は、イオン注入によりアモルファス状
にされる、シリコン本体のソース/ドレイン領域の限られた部分においてのみ起
こり、シリサイド領域の寸法は、リーク経路の形成と、シリコン本体および/ま
たはその上に形成されるいかなる集積回路の電子特性にも悪影響を及ぼす他の問
題点とを回避するために、比較的厳密に制御され得る。さらに、金属をアモルフ
ァス領域内に拡散させるための金属層の照射に光を用いることは、シリコン本体
の加熱を低減するのを助け、シリコン本体上に形成された集積回路は、そのよう
な素子に損傷を与え得る長時間の加熱を受けない。さらに、本発明の方法は、従
来のシリサイド化技術と比べてはるかに速い速度でシリサイド化を行うために使
用され得る。従って、本発明の方法を用いたシリコン本体のシリサイド化の処理
は、従来のシリサイド化技術で可能であるスループットよりもはるかに大きい。
金属の完全な消費は、ゲートおよびランナー領域において起こり、これらの領域
上のシリサイドが、ソース/ドレイン領域上のシリサイドより厚くなる。さらに
、本発明の方法による好適な実施形態のゲートまたはランナー領域上に重なる金
属層の消費において、ゲートまたはランナー領域の反射率は、ゲートまたはラン
ナー領域の熱ローディングを低減するように、増加する。ソースおよびドレイン
領域上に重なる金属層の照射は、ゲートまたはランナー領域に対し逆に作用する
ことなく継続され得、比較的低い抵抗率のシリサイドが、ソースおよびドレイン
領域、ならびにゲートおよびランナー領域において形成され得る。本発明の方法
によって可能になる、低減されたゲート、ソース、ドレインおよびランナーシリ
サイド抵抗によって、得られるトランジスタ装置が比較的速い動作速度を有し得
る。
【0011】 上記の特徴および利点、ならびに、これから明らかになるその他の特徴および
利点は、本発明の一部分を構成する添付の図面を参照して以下により完全に説明
され且つ請求される本発明の構成および動作の詳細にあリ、後に明らかとなる。
図中、同一の番号は、同一の部分を指す。
【0012】 (好適な実施形態の説明) 図1Aにおいて、シリコン本体1には、本発明の方法による、シリコン領域を
形成する処理が施される。シリコン本体は、例えば、MISFETデバイスのゲ
ートを形成するために使用される、シリコン基板、シリコン絶縁体(silic
on−on−insulator)基板、シリコンエピタキシャル層、または、
cシリコンもしくはポリシリコンであり得る。周知の技術を用いて、電界酸化物
絶縁体領域2がシリコン本体1に形成され、シリサイド領域を形成することが望
ましいシリコン本体の領域を露出するウィンドウを形成する。本発明の方法によ
れば、シリコン本体の露出部分は、好ましくは図1Aの参照番号「3」で示され
るイオンの注入により、アモルファス状にされる。注入されたイオンは、基板の
局在化領域中のシリコン原子間の化学結合を破壊するため、原子構造は、イオン
の衝撃を受けていないシリコン本体の部分と比べて、比較的無秩序かつランダム
な状態にされる。好ましくは、イオン種、注入エネルギー、および適用は、所定
の深さまで延びるようにするため、アモルファス化領域4を作成するように選択
される。概して、比較的軽い原子量のイオン種の選択、イオン注入エネルギーの
増加、または、イオン適用の増加はそれぞれ、アモルファス領域が延びる深さを
増加する効果を有する。逆に、比較的重い原子量のイオン種の選択、イオン注入
エネルギーの低減、またはイオン適用の低減はそれぞれ、アモルファス領域が延
びる深さを低減する効果を有する。多数のイオン種が、アモルファス領域を作成
するために使用され得る。例えば、イオン種は、シリコン、アルゴン、ヒ素、ま
たはゲルマニウムを含み得る。イオン注入エネルギーは、1平方センチメートル
当たりイオン1013〜1015個の範囲の適用で、10〜100キロ電子ボルト(
keV)の範囲であり得る。アモルファス化深さは、所望の厚さのシリサイドを
形成するために消費されるシリコンの厚さにほぼ等しい。ケイ化チタンの場合、
1Åのシリサイドを形成するために約0.909Åのシリコンが消費される。従
って、好適な実施形態において、約440Åの厚さのケイ化チタンをソース/ド
レイン領域上に得るためには、400Åのシリコン表面がアモルファス化される
必要がある。アモルファス化領域を400Åの深さまで作成するために、本発明
者は、1平方センチメートル当たり原子約3×1014個の適用で約30keVの
エネルギーで注入されたヒ素イオンが、上記深さまでのシリコン本体のアモルフ
ァス化を達成すると決定した。イオン注入は、カリフォルニア州サンホゼ(Sa
n Jose)のApplied Materials,Inc.から入手可能
な9500 XR Ion Implanterなどの装置を用いて行われ得る
【0013】 イオン注入が行われる真空チャンバからシリコン本体が除去されると、この本
体は、空気に曝露され得、従って、空気の酸素含有量のため、ある程度の酸化を
受け得る。この酸化は、シリコン本体の表面の上に、いわゆる「自然」酸化物層
を形成する。そのような自然酸化物層が、本発明の方法によるその後の処理に逆
の影響を及ぼさないように、自然酸化物層は、酸性溶液で除去され得る。例えば
、HF:H2Oの比が1:100の溶液は、酸化物を、20Å/分の速度で除去
する。酸性溶液を用いてシリコン本体を60秒処理することが、自然酸化物層を
取り除くのに十分である。代替例では、本発明の方法は、チャンバ内で実行され
得、そのため、アモルファス領域は、その上に金属層を形成する前に酸化環境に
曝露されず、これにより、取り除くことを必要とする酸化物層の形成を防ぐ。
【0014】 図1Bでは、少なくともアモルファス領域4の表面の上に、金属層5が形成さ
れる。金属層は、シリコン本体との電気接触のための所望のシリサイド化合物を
形成するために必要とされる金属原子を供給する。本発明の方法では、多数の金
属種が、シリサイド化合物を形成するために使用され得る。例えば、金属層は、
15〜20μΩ・cm、17〜20μΩ・cmおよび12〜15μΩ・cmの抵
抗率を有するシリサイドTiSi2、CoSi2、またはNiSiをそれぞれ形成
するために使用されるチタン、コバルトまたはニッケルを含み得る。金属層は、
好ましくはスパッタリングにより形成されるが、蒸着または化学的気相成長もま
た使用され得る。例えば、金属層を形成するために、適切なスパッタリングチャ
ンバは、カリフォルニア州サンホゼのApplied Materials,I
nc.から入手可能なEnduraTMVHPPVDである。好ましくは、金属層
は、所望のシリサイド厚さまたは深さと、シリサイドを形成するために消費され
るシリコンおよび金属の化学量論比とに基づいて決定された厚さで形成される。
具体的には、金属層の厚さは、アモルファス化されたシリコンの深さを化学量論
的なシリサイドを形成するために消費されるシリコンの金属に対する比で割った
値より、厚くなる必要がある。従って、400Åのアモルファスシリコンでチタ
ンを反応させて440Åのアモルファスシリサイドを形成するため、この特定の
シリサイドの原子構造に起因して、1Åのチタンにつき、2.27Åのシリコン
が消費される。従って、所望の深さの化学量論的なシリサイドが確実に形成され
るように、金属の厚さは、
【0015】
【数1】 より厚くなることが必要である。
【0016】 2つのフルエンスレジーム(regime)が、増大するレーザフルエンスに
関わらずシリサイドの深さが変わらない場合に存在する。第1のレジームは、S
omit Talwarらを発明者とする、1997年1月29日に出願された
、米国特許出願第08/791,775号に記載されている。この出願において
、レーザフルエンスは、溶融が、アモルファス結晶インターフェースまで進み、
そこを越えないような範囲の中にある。第2のレジームは、上に重なる金属を完
全に消費するように十分に溶融が深いフルエンス範囲の中にある。合金が露出さ
れた後、光吸収が、(1)合金、および(2)溶融した合金表面のより高い反射
率に起因して、非常に低減される。従って、増大するフルエンスによって、溶融
がより深くならず、結果として、シリサイド深さは、この特定のフルエンスの範
囲について変化しない。要約すると、シリサイド深さは、第1のフルエンスレジ
ームにおいて、アモルファス化プロセスによって制御され、第2のフルエンスレ
ジームにおいて、堆積された金属の厚さによって制御される。
【0017】 図1Cでは、金属層には、参照番号「6」で示される光が照射される。第1の
フルエンスレジームにおいて、この光は、アモルファス領域を溶融状態にするの
には十分であるがシリコン本体または金属層5を溶融させるのには不十分なパワ
ーを有するレーザにより生成される。アモルファス領域の化学結合は破壊されて
いるため、アモルファス領域は、原子がより規則正しく並んでいるシリコン本体
の他の部分よりも低い温度で溶融状態になる。一般に、シリコンにおける金属の
完全な混合を確実にするためには、レーザ光は、幾つかのパルスにわたって付与
されなければならないと決定されている。各レーザパルスは、10ナノ秒と10
0ナノ秒との間のパルス幅を有する。レーザパルスの繰り返しレートは、1〜1
000ヘルツであり得る。レーザパルス間に、基板は、室温まで低下することが
可能にされる。本発明の方法のプロセスマージン内で操作するために必要とされ
る厳密なレーザフルエンス、ショット数、ショット持続時間、および繰り返しレ
ートは、異なる種類のレーザ装置と、所望のシリサイド厚との間で変わる。フロ
リダ州フォートローダデール(Fort Lauderdale)のLambd
a Physik,Inc.から市販で入手可能なモデルNo.4308のレー
ザの場合、約400Åの厚さのシリサイドについて、このフルエンスは、300
ヘルツの繰り返しレートで20ナノ秒のパルス幅の10ショットで送達される0
.3〜0.5ジュール/平方センチメートル(J/cm2)である。金属層5の
照射は、好ましくは、窒素、アルゴンまたはヘリウムの不活性雰囲気を有するチ
ャンバにおいて行われる。適切なチャンバは、Ultratech Stepp
er,Inc.から市販で入手可能な投影ガス浸漬レーザアニ−リング(Pro
jection−Gas Immersion Laser Annealin
g)(P−GILA)装置である。発明者は、上記のガイドラインおよび原則に
従うレーザ光の適切な送達により、アモルファス領域が溶融され且つシリコン本
体および金属層がそれぞれ固体状態のままである温度ウィンドウが、摂氏(C)
約260°の比較的大きい範囲、1150℃〜1410℃にわたって、起こるこ
とを判断した。従って、この方法は、本発明の実行の成功のために利用可能なプ
ロセスマージンを、従来のシリサイド化技術に関して大幅に増加させる。
【0018】 本発明の第1のレジームの好ましいフルエンス範囲は、上に重なる金属を完全
に消費するために十分に深く溶融させ、図1Dに示すように、化学量論的な合金
を形成する。金属層5は、入射する光の約40%を反射する。溶融状態の合金の
反射率は、約70%である。従って、合金が入射光に対して露出されると、金属
層5が反射する光と比較して、30%多い光(図1Dにおいて、参照番号「7」
で示される)を反射する。露出された合金の増大した反射率は、大きいフルエン
ス範囲について合金の下のシリコンの溶融を止めるために十分である。このフル
エンス範囲は、1〜10KHzの繰り返しレートで10〜100ナノ秒のパルス
幅の3〜10ショットで送達される0.1〜1.0J/cm2である。好ましい
フルエンス範囲は、20ナノ秒のパルス幅の10ショットで送達される0.4〜
0.5J/cm2である。
【0019】 光照射後の冷却の際、溶融状態の合金は固体化し、シリコン本体に形成される
シリサイドのための所望の組成物を有する合金領域になる。この合金領域は、シ
リサイドの原子が完全にではないが比較的規則正しく並んでいる部分結晶化状態
を有する。TiSi2シリサイドの場合、この部分結晶化相は、そのシリサイド
の「C49相」と呼ばれる。図1Eにおいて、金属層は、シリコン本体から除去
される。金属層の除去は、80℃に加熱された溶液(硫酸(H2SO4):過酸化
水素(H22)=4:1)を用いて行われ得る。典型的には、シリコン本体の約
10分間の浸漬が、金属層を除去するために必要とされることのすべてであるが
、金属層を除去するために必要な時間は、金属の種類およびその厚さの程度に依
存して変わり得る。浸漬は、モンタナ州カリスペル(Kalispell)のS
EMITOOLTM製造のEquinoxTMのようなスプレーエッチング装置にお
いて行われ得る。次いで、シリコン本体には、合金領域4を、所望の低抵抗特性
を有する結晶シリサイド領域に変えるための処理が施される。結晶シリサイド領
域は、図1Eにおいて「x」のハッチングにより示される。好ましくは、合金領
域を結晶性の高いシリサイド領域に変えるために、高速熱アニ−リングが用いら
れる。高速熱処理は、シリコン本体を、1時間から10秒までの範囲の持続時間
の間、500℃〜900℃の温度に晒すことにより行われ得る。具体的な温度お
よび持続時間は、温度が比較的高ければ処理持続時間は比較的短く、また、その
逆になるように、上記範囲内で選択される。好ましくは、TiSi2シリサイド
の場合、合金領域を所望のシリサイドに変えるために、シリコン本体には、20
秒間850℃の温度の高速熱アニ−リングが施される。高速熱アニ−リング中に
シリコン本体が置かれる雰囲気は、不活性であるべきである。従って、例えば、
高速熱アニ−リングは、窒素雰囲気を含むチャンバにおいて行われるべきである
。高速熱アニ−リングは、カリフォルニア州サンホゼのApplied Mat
erials,Inc.から市販で入手可能なCenturaTMと呼ばれる高速
熱処理装置において行われ得る。
【0020】 一旦シリサイド領域4が形成されると、図1Fに示されるように、シリサイド
領域への低抵抗の電気接続を確立するために、金属コンタクト8が作製され得る
。このような導電性接触の形成は、集積回路技術において周知である。所望され
る場合、さらなるSiO2層(図示せず)が、コンタクト8の上に電気絶縁のた
めに形成され得る。図1A〜図1Fを参照して上で説明された本発明の方法は、
任意のシリコン本体上への、様々な可能な組成物のうちの1つを有するシリサイ
ド領域の形成に関して、比較的一般化される。本発明の方法は、以下の図2A〜
図2Jで説明される、金属−絶縁体−半導体電界効果トランジスタ(MISFE
T)(この用語は、その意味の範囲内に「MOSFET」を含む)上に自己整合
されたシリサイド領域を作成するために適用され得る。
【0021】 図2A〜図2Jは、シリコン基板1上に形成される集積MISFETデバイス
のゲート、ソースおよびドレイン、ならびに接続ランナーのための接触領域の自
己整合シリサイド化に適用された場合の本発明の方法の断面図である。図2Aに
おいて、MISFET装置が形成されるシリコン本体1の領域を電気的に絶縁す
るために、電界絶縁体層20が形成される。この技術の当業者に周知の技術およ
び材料を用いて、シリコン基板1の表面上に、ゲート絶縁体層21が形成される
。ゲート絶縁体層21は、例えば酸化物層であり得、この場合、結果として得ら
れる装置はMOSFETである。次いで、例えば低圧化学的気相成長により、そ
れぞれ、ゲート絶縁体層21および電界絶縁体層20上にポリシリコンまたはア
モルファスシリコン層22および23が堆積される(概して、層22および23
は、同じシリコン材料から同じ処理工程で形成され得る。層22および23は、
電界絶縁体層20とゲート絶縁体層23とを区別するために、異なる数字が付け
られる)。図2Aに示されるように、シリコン層およびゲート絶縁体層は、少な
くとも1つのレジスト層を用いてシリコン層およびゲート絶縁体層を選択的に形
成またはエッチングすることによりパターニングされ、シリコンゲート本体22
、ランナー23、およびゲート絶縁体層21を形成する。次いで、ドレインおよ
びソース領域24および25には、適切なn型またはp型ドーパントがドープさ
れる。シリコン本体1がn型であれば、ドレインおよびソース領域には、p型ド
ーパントがドープされる。一方、シリコン本体1がn型であれば、ドレインおよ
びソース領域にはp型ドーパントがドープされる。
【0022】 図2Bでは、シリコン本体1、シリコンゲート本体22、およびランナー23
上に、絶縁体層26が形成される。次いで、図2Cに示されるように、絶縁体層
26が異方的にエッチングされ、シリコンゲート本体およびランナー23の側部
に、それぞれ、絶縁体側壁27および28を形成する。側壁27および28は、
これから形成されるシリサイド領域の位置の自己整合を達成するのを助ける。
【0023】 図2Dにおいて、イオン29が注入され、ソース、ドレイン、ゲートおよびラ
ンナー領域上にそれぞれアモルファス領域30、31、32、および33を形成
する。イオン注入のためのイオン種、注入エネルギーおよび適用は、好ましくは
、図1Aに関して以前に説明された通りである。アモルファス領域が空気に曝露
されると、少なくともアモルファス領域30、31、32、および33の表面が
、酸性溶液で除去され、空気に曝露されるとアモルファス領域30、31、32
、および33上に形成され得る、図1Bに関して以前に説明されたようないかな
る自然酸化物膜をも取り除く。
【0024】 図2Eにおいて、少なくともアモルファス領域30、31、32、および33
に隣接して、金属層34が形成または配置される。好ましくは、金属層34は、
図1Bに関して以前に説明されたように、スパッタリング、蒸着または化学的気
相成長によりアモルファス領域上に形成されるチタン、コバルトまたはニッケル
などの種である。金属層34は、好ましくは、アモルファス化されたシリコンか
ら、化学量論的なシリサイドを生成するために十分な厚さで形成される。
【0025】 図2Fにおいて、金属層34には、図1Cに関して以前に説明されたようなフ
ルエンス、ショット数、ショット持続時間および繰り返しレートの光35が照射
される。光35は、アモルファス領域30、31、32、および33については
、これらの領域を溶融状態にするのに十分に加熱するが、シリコン基板1、ゲー
ト絶縁体層21、側壁27および28、あるいは電界絶縁体領域20については
それぞれの溶融温度まで加熱しない。光35の加熱作用のため、金属原子は、金
属層34から、溶融領域36、37、38、および39内に拡散し、アモルファ
ス領域は、シリサイド組成物のそれぞれの合金領域になる。
【0026】 図2Gに示すように、ゲートシリコン本体22上の、好ましくは、ランナー本
体23の上にも重なる金属層を完全に消費するフルエンスで、光35は、合金領
域36、37、38、および39に照射される。得られる合金領域38および3
9は、アモルファスシリコンが金属原子と混合するように、層22および23の
中に、それぞれのアモルファス領域の深さより深い深さまで延びる。例えば、4
00Åの深さまで延びるケイ化チタン合金領域を形成するために用いられる約1
60Åの金属チタン層について、光35のエネルギーフルエンスは、好ましくは
、0.30〜0.50J/cm2の範囲内である。概して、ゲート絶縁体層21
および絶縁領域20の熱伝導が比較的低く、ソースおよびドレイン領域36およ
び37が熱だめ(heat sink)として機能する基板と一体型なので、ゲ
ート領域38およびランナー合金領域39の上に重なる金属層24は、ソースお
よびドレイン合金領域36および37の上に重なる位置の金属層を消費するため
に必要なレーザフルエンスより低いレーザフルエンスで消費される傾向がある。
また、シリコンランナーの上に重なる金属層は、概して、ゲートシリコン本体2
2の上に重なる金属層より、高いフルエンスで消費される。ゲート合金領域38
およびランナー合金領域39の上に重なる金属層34の消費において、ゲートお
よびランナー合金領域の表面は、露出され、金属層34と比較すると増大した量
の光40を反射する。ゲート合金領域38およびランナー合金領域39からの光
エネルギーの増大した反射は、金属領域34に被覆された他の領域に関連して、
これらの領域に吸収されたエネルギーを低下する。
【0027】 光フルエンスは、好ましくは、ゲートおよびポリランナー領域上の溶融が、こ
れらの領域上に重なる金属を完全に消費するために十分な深さになり、ソース/
ドレイン領域上の溶融がアモルファスの深さに制限されるように決定される。上
に重なる金属が完全に消費された後、ゲートおよびランナー上の合金の溶融の深
さが増大しないフルエンスの範囲があるため、且つ、ソース/ドレイン領域上の
溶融の深さが、アモルファスとcシリコン溶融温度の違いに起因して、アモルフ
ァス化の深さを超えて伸びないフルエンス範囲があるため、これら2つのレジー
ムに重なるフルエンスについて、ゲートおよびランナー上のシリサイドの厚さは
、金属層の完全な消費において予測された厚さに等しく、ソース/ドレイン領域
上のシリサイドの厚さは、アモルファス化シリコン層の完全な消費について予測
される厚さに等しい。
【0028】 図2Hにおいて、消費されていない金属層34は、好ましくは図1Dに関して
説明されたような酸性溶液を用いて、電界絶縁体20、側壁27および28、な
らびに合金領域36および37の表面から除去される。図2Iでは、合金領域3
6、37、38、および39には、合金領域をさらに結晶化して、非常に規則正
しく並んだ原子構造を有する化学的形態にするための処理が施され、上記領域は
、所望の低抵抗率特性を有するシリサイド領域になる。図2IHにおいて、結晶
シリサイド領域36、37、38、および39は、「x」のハッチングで示され
る。好ましくは、それぞれのシリサイド領域を形成するための合金領域の処理は
、図1Eに関して以前に説明されたように、高速熱アニ−リングを用いて行われ
る。
【0029】 図2Jにおいて、電界絶縁体領域20、シリサイド領域36、37、38、お
よび39、ならびに、側壁27および28の上に、絶縁体層41が形成される。
絶縁体層41は、シリサイド領域36、37、38、および39を露出するよう
に、選択的にパターニングされる。アルミニウムまたはその他の導電性金属から
なる導電性リード42、43および44が形成され、そして、MISFETデバ
イスのシリサイド領域36、37、38、および39にそれぞれ電気的に接触す
るようにパターニングされる。具体的には、リード42は、図2Jの右側にある
ランナーシリサイド39をソースシリサイド36に電気的に接続し、リード43
は、図2Jの左側のランナーシリサイド39をドレインシリサイド37に接続し
、リード44は、ゲートシリサイド領域38に接続される。リード42、43、
および44は、従って、電気信号を、MISFET装置のそれぞれの端子におよ
び端子から送信するように用いられ得る。導電性リード42、43および44上
には、例えばシリコン酸化物からなる絶縁体層45が形成され得、導電性リード
およびMISFETデバイスを電気的に絶縁し且つ保護する。リード42、43
および44は、シリサイド領域36、37、38、および39に接触する端部と
反対側の端部で、他の電子構成要素、および/または、電源もしくは信号源に結
合される。
【0030】 図3は、本発明の方法を用いて作製された集積MISFET装置のケイ化チタ
ンゲート領域についてのゲート抵抗性対線幅のグラフである。図3から分かるよ
うに、350mJ/cm2のフルエンスで形成されたシリサイドゲート領域の抵
抗値は、ゲートの横幅に比較的大きく依存する。対照的に、400および450
mJ/cm2のエネルギーフルエンスで形成されたゲートシリサイドゲート領域
の抵抗率の値は、幅広い範囲のゲート幅にわたって、比較的大きな依存性を有す
る。これに対して、400および450mJ/cm2のエネルギーフルエンスに
おいて、広範囲のゲート幅にわたって、ゲートシリサイド抵抗率は比較的一定か
つ低い(約1Ω/cm2)。従って、得られるシリサイドが比較的低くゲート幅
から独立するのでケイ化チタンの形成について好ましい400〜500mJ/c
2の範囲の、比較的小さい(すなわち、サブミクロン)ジオメトリーが与えら
れて、350〜500mJ/cm2の範囲のエネルギーフルエンスが用いられ得
る。
【0031】 図4は、本発明の方法によって作製されたMISFETデバイスのゲート領域
の断面の図である。ゲート幅は比較的狭く、約0.2マイクロメートルで、約4
00Åの深さまで延びるシリサイド領域36および37をソース/ドレイン領域
に有し、ゲートシリサイド本体22に、約1000Åまで延びるゲートシリサイ
ド領域38を有する。得られるゲートシリサイド領域38は、約1Ω/cm2
抵抗率を有する。
【0032】 本発明の多くの特徴および利点は、詳細な明細書から明らかであり、従って、
添付の請求の範囲により、本発明の真の精神および範囲に従う、説明された方法
および装置のそのような特徴および利点のすべてをカバーすることが意図される
。さらに、多数の改変および変更が当業者に容易に思いつくため、本発明を、示
され且つ説明された厳密な構成および動作に限定することは望ましくない。従っ
て、すべての適切な改変およびその等価物は、特許請求の範囲の精神および範囲
内にあるものとされ得る。
【図面の簡単な説明】
【図1A】 図1Aは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図1B】 図1Bは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図1C】 図1Cは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図1D】 図1Dは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図1E】 図1Eは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図1F】 図1Fは、シリコン本体の断面図であって、本発明の一般化された方法による
、シリコン本体のシリサイド化を行うステップを示す断面図である。
【図2A】 図2Aは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2B】 図2Bは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2C】 図2Cは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2D】 図2Dは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2E】 図2Eは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2F】 図2Fは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2G】 図2Gは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2H】 図2Hは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2I】 図2Iは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図2J】 図2Jは、シリコン本体の断面図であって、集積MISFETデバイスのゲー
ト、ドレインおよびソースのための接触の自己整合シリサイド化に適用される場
合の本発明の方法のステップを示す断面図である。
【図3】 図3は、エネルギーフルエンスについてのゲートシリサイド抵抗性対線幅のグ
ラフである。
【図4】 図4は、本発明の方法によって作成されたMISFET装置の断面の図である
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/786 H01L 29/78 617L (72)発明者 クラマー, カール−ジョセフ ドイツ国 デー−71665 バイヒンゲン /エンズ, ウイゼンシュトラーセ 17− 5 (72)発明者 ウェイナー, カート アメリカ合衆国 カリフォルニア 95125, サン ノゼ, ネバダ アベニュー 822 Fターム(参考) 4M104 AA01 AA08 BB01 BB02 BB20 BB21 BB25 CC01 CC05 DD02 DD04 DD06 DD23 DD26 DD34 DD37 DD43 DD80 DD81 DD84 EE02 EE03 EE06 EE09 EE16 FF14 GG08 GG09 GG10 GG14 HH15 HH16 5F033 HH04 HH07 HH08 HH25 HH26 HH27 JJ07 JJ08 KK04 KK25 KK27 LL06 PP07 PP15 PP19 QQ08 QQ09 QQ16 QQ53 QQ58 QQ59 QQ61 QQ62 QQ64 QQ65 QQ70 QQ73 QQ82 QQ83 QQ94 RR04 TT08 VV06 WW00 WW07 WW08 XX09 XX10 5F110 AA03 CC02 DD24 EE05 EE08 EE09 EE14 EE31 EE38 EE41 EE43 EE44 EE45 FF02 GG02 GG12 GG13 GG28 HJ01 HK05 HK32 HK33 HK34 HK40 HK41 HL03 NN02 NN23 NN62 QQ11 5F140 AA01 AA10 AC36 BA01 BA13 BA16 BF04 BF11 BF18 BF34 BF38 BG08 BG28 BG30 BG32 BG34 BG37 BG43 BG44 BG45 BG51 BG53 BG56 BH22 BJ08 BJ11 BJ15 BK12 BK13 BK22 BK24 BK29 BK30 BK32 BK34 BK38 BK39 CB01 CC00 CC03 CE18 CF04

Claims (44)

    【特許請求の範囲】
  1. 【請求項1】 a)シリコン本体にアモルファス領域を作成するステップと
    、 b)該アモルファス領域に接触するように金属層を形成するステップと、 c)該金属層に光を照射して、金属を該アモルファス領域内に拡散させ、シリ
    サイド組成物の合金領域を形成するステップと、を包含する、方法であって、 該照射するステップが、少なくとも、該合金領域を露出させるように、該アモ
    ルファス領域上に重なる該金属が消費されるまで進み、該金属反射率に関連して
    、該露出された合金領域の増大された反射率が、該合金領域のさらなる大幅な溶
    融を防ぐために十分である、 方法。
  2. 【請求項2】 d)前記合金領域を処理して、該合金領域から、低抵抗率の
    シリサイド領域を形成するステップ、 をさらに備える、請求項1に記載の方法。
  3. 【請求項3】 前記ステップ(d)が、少なくとも前記合金領域に高速熱ア
    ニ−リングを施すサブステップを包含する、請求項2に記載の方法。
  4. 【請求項4】 e)少なくとも1つの絶縁体層および少なくとも1つの導電
    層をパターニングして、該シリサイド領域に接触する導電リードを形成するステ
    ップ をさらに包含する、請求項2に記載の方法。
  5. 【請求項5】 前記ステップ(a)が、前記シリコン本体にイオンを注入し
    て、前記アモルファス領域を形成するサブステップを包含する、請求項1に記載
    の方法。
  6. 【請求項6】 前記イオンが、シリコン、アルゴン、ヒ素およびゲルマニウ
    ムのうちの少なくとも1つを含む、請求項5に記載の方法。
  7. 【請求項7】 前記イオンが、10〜100キロ電子ボルト(keV)の範
    囲のエネルギーで注入される、請求項6に記載の方法。
  8. 【請求項8】 前記注入サブステップが、1平方センチメートル当たり原子
    1013〜1015個の範囲の適用で行われる、請求項6に記載の方法。
  9. 【請求項9】 d)イオン種、イオンエネルギー、およびイオン適用のうち
    の少なくとも1つを選択して、前記アモルファス領域を前記所定の深さまで形成
    するステップをさらに包含し、 前記注入サブステップが、該ステップ(d)に基づいて行われる、請求項5に
    記載の方法。
  10. 【請求項10】 d)前記ステップ(a)を行った後、前記ステップ(b)
    を行う前に、前記シリコン本体から酸化物層を除去するステップをさらに包含す
    る、請求項1に記載の方法。
  11. 【請求項11】 前記ステップ(d)が、前記シリコン本体を酸性浴に浸漬
    するサブステップを包含する、請求項10に記載の方法。
  12. 【請求項12】 前記ステップ(b)が、前記アモルファス領域に金属をス
    パッタリングして、前記金属層を形成するサブステップを包含する、請求項1に
    記載の方法。
  13. 【請求項13】 前記金属が、チタン、コバルトおよびニッケルのうちの少
    なくとも1つを含む、請求項12に記載の方法。
  14. 【請求項14】 前記ステップ(b)が、前記アモルファス領域に金属を蒸
    着して、前記金属層を形成するサブステップを包含する、請求項1に記載の方法
  15. 【請求項15】 前記ステップ(b)が、化学的気相成長により、前記アモ
    ルファス領域上に前記金属層を形成するサブステップを包含する、請求項1に記
    載の方法。
  16. 【請求項16】 前記金属層が、前記アモルファス領域が前記シリコン本体
    に形成される前記所定の深さを、シリコンの金属に対する消費量比で割った値よ
    りも大きい厚さに形成される、請求項1に記載の方法。
  17. 【請求項17】 前記ステップ(c)が、前記金属層にレーザ光を照射する
    サブステップを包含する、請求項1に記載の方法。
  18. 【請求項18】 前記レーザ光が、前記金属層および前記シリコン本体が固
    体状態のままで、前記アモルファス領域を溶融させるフルエンスを有する、請求
    項17に記載の方法。
  19. 【請求項19】 前記フルエンスが、0.1〜1.0J/cm2の範囲であ
    る、請求項18に記載の方法。
  20. 【請求項20】 前記金属層に、連続ショットで前記レーザ光を照射する、
    請求項17に記載の方法。
  21. 【請求項21】 前記ステップ(c)の実行中、前記シリコン本体が、アル
    ゴン、ヘリウム、および窒素のうちの少なくとも1つを含む周囲媒体中に配置さ
    れる、請求項1に記載の方法。
  22. 【請求項22】 a)シリコン基板上に電界絶縁層を形成するステップと、 b)該シリコン基板上に第1の絶縁体層を形成するステップと、 c)該第1の絶縁体層および該電界絶縁層の上にシリコン領域を形成するステ
    ップと、 d)該シリコン領域および該第1の絶縁体層をパターニングして、ゲート絶縁
    体層および該電界絶縁層上の少なくとも1つのランナーの上に重なるゲートシリ
    コン領域を作成するステップと、 e)少なくとも、該ゲートシリコン領域に隣接する該シリコン基板の領域にド
    ープを行い、該シリコン基板にソースおよびドレイン領域を形成するステップと
    、 f)該ゲートシリコン領域、該ランナー、および該シリコン基板の上に、第2
    の絶縁体層を形成するステップと、 g)該第2の絶縁体層をエッチングして、該ゲートシリコン領域および該ラン
    ナーの側部に接触する側壁を形成するステップと、 h)該ゲート、ソース、ドレインおよびランナー領域に、アモルファス領域を
    作成するステップと、 i)該アモルファス領域に接触する金属層を形成するステップと、 j)該金属層に光を照射して、上に重なる領域を溶融させ、溶融領域内に金属
    を拡散させ、該溶融領域から、シリサイド組成物の合金領域を形成するステップ
    であって、該照射するステップが、少なくとも、該ゲート合金領域上に重なる金
    属が消費される後まで継続し、該ソースおよびドレイン合金領域が成長し続ける
    につれて、該金属層に関連して、該ゲート合金領域の増大された反射率が、該ゲ
    ート合金領域の熱ローディングを減少させる、ステップと、 k)該金属層の消費されない部分を取り除くステップと、 l)該合金領域を処理して、シリサイド領域を形成するステップと、 を包含する、方法。
  23. 【請求項23】 m)前記シリコン基板の上に、第3の絶縁体層を形成する
    ステップと、 n)該第3の絶縁体層をパターニングして、前記ゲート、ソース、ドレイン、
    およびランナー領域を選択的に露出するステップと、 o)該第3の絶縁体層上に、該ゲート、ソース、ドレイン、およびランナー領
    域に接触する導電性リードを形成するステップと、 をさらに包含する、請求項22に記載の方法。
  24. 【請求項24】 前記ステップ(h)が、イオンを注入して前記アモルファ
    ス領域を形成するサブステップを包含する、請求項22に記載の方法。
  25. 【請求項25】 前記ステップ(i)が、前記アモルファス領域に前記金属
    層をスパッタリングするステップを包含する、請求項22に記載の方法。
  26. 【請求項26】 前記ステップ(i)において前記基板を照射するために用
    いられる光が、レーザ光である、請求項22に記載の方法。
  27. 【請求項27】 前記ステップ(i)において、少なくとも前記ゲートに化
    学量論的な合金領域を作成するために十分な厚さで前記金属層が形成される、請
    求項22に記載の方法。
  28. 【請求項28】 前記ステップ(j)が、前記ゲート領域上に重なる金属層
    の消費の後まで継続され、前記ソースおよびドレイン領域における合金領域の成
    長を継続する、請求項22に記載の方法。
  29. 【請求項29】 a)シリコン基板上に形成された集積素子のゲート、ソー
    ス、およびドレイン上の領域をアモルファス化するステップと、 b)該アモルファス化された領域に接触するように金属層を形成するステップ
    と、 c)該アモルファス化された領域を溶融するのには十分であるが該金属および
    該シリコン本体を溶融するのには不十分なエネルギーフルエンスの光を、該アモ
    ルファス化された領域に照射して、金属が該アモルファス化された領域に拡散し
    て、シリサイド組成物の合金領域を形成するステップであって、該照射するステ
    ップが、少なくとも、該ゲート領域上に重なる金属層が消費されるまで継続し、
    該金属層に関して、該ゲート合金領域の増大された反射率が、該ゲート合金領域
    のさらなる熱ローディングを減少させる、ステップと、 を包含する、方法。
  30. 【請求項30】 前記照射するステップが、少なくとも、前記ゲート領域上
    に重なる前記金属層が消費される後まで継続され、前記ゲート合金領域の増大さ
    れた反射率が、該ゲート領域のさらなる溶融を実質的に止めて、該ゲート合金領
    域が、該ゲート合金領域上に重なる金属層の消費において存在する境界を越えて
    大幅に進まないように、金属の前記ソースおよびドレインの合金領域への拡散が
    継続する、請求項29に記載の方法。
  31. 【請求項31】 d)前記シリコン本体から消費していない金属を取り除く
    ステップと、 e)前記合金領域からシリサイド領域を形成するステップと、 をさらに包含する、請求項29に記載の方法。
  32. 【請求項32】 前記ステップ(e)が、前記合金領域の高速熱アニ−リン
    グを行って、前記シリサイド領域を作成するサブステップを包含する、請求項3
    1に記載の方法。
  33. 【請求項33】 前記ステップ(a)が、前記シリコン基板にイオンを注入
    するサブステップを包含し、前記アモルファス化された領域を作成する、請求項
    29に記載の方法。
  34. 【請求項34】 前記ステップ(b)が、前記アモルファス化された領域に
    前記金属をスパッタリングするサブステップを包含する、請求項29に記載の方
    法。
  35. 【請求項35】 前記ステップ(b)が、前記アモルファス化された領域に
    前記金属を蒸着するサブステップを包含する、請求項29に記載の方法。
  36. 【請求項36】 前記ステップ(b)が、化学的気相成長を用いて、前記金
    属を、前記アモルファス化された領域に接触するように形成するサブステップを
    包含する、請求項29に記載の方法。
  37. 【請求項37】 前記ステップ(b)において、ほぼ化学量論的な合金領域
    を作成する厚さで、前記ステップ(c)における照射による前記アモルファス領
    域上に重なる金属層の消費の際に、前記金属層が形成される、請求項29に記載
    の方法。
  38. 【請求項38】 前記ステップ(c)が、0.1〜1.0J/cm2の範囲
    のフルエンスを有するレーザ光を前記金属に照射するサブステップを包含する、
    請求項29に記載の方法。
  39. 【請求項39】 前記ステップ(c)が、前記レーザ光をショットの連続で
    照射するサブステップを包含する、請求項38に記載の方法。
  40. 【請求項40】 3〜10の範囲の所定のショット数が、10〜100ナノ
    秒の持続時間で前記金属に送達される、請求項39に記載の方法。
  41. 【請求項41】 前記ゲート上の前記合金領域の厚さが、前記ステップ(b
    )において形成された金属層の厚さによって決定され、前記ソースおよびドレイ
    ン領域のシリサイドの厚さが、前記ステップ(a)においてアモルファス化が行
    われる深さによって決定される、請求項29に記載の方法。
  42. 【請求項42】 前記ステップ(b)において前記ゲート領域上に重なる領
    域に形成された前記金属層を消費し、前記ステップ(a)から得られる前記ソー
    スおよびドレイン領域にアモルファス化の深さまで前記合金領域が成長すること
    を可能にするために必要な範囲内に前記フルエンスが存在する、請求項29に記
    載の方法。
  43. 【請求項43】 前記ソースおよびドレインの上に重なるシリサイド領域よ
    りも厚いゲートシリサイド領域を有する、集積金属絶縁体半導体電界効果トラン
    ジスタ(MISFET)デバイス。
  44. 【請求項44】 半導体基板と、 該基板内に形成され、該基板の領域の境界を示す電界酸化物領域と、 該基板内で該電界酸化物領域によって境界が示される領域に形成されるソース
    領域と、 該基板内で該電界酸化物領域によって境界が示される領域に形成されるドレイ
    ン領域と、 該ソース領域と該ドレイン領域との間で該基板上に位置する絶縁体層と、 該絶縁体層の上に重なるゲート領域と、 該ゲート領域と接触するように位置するシリサイド領域と、 該ソース領域と接触するように位置するシリサイド領域と、 該ドレイン領域と接触するように位置するシリサイド領域と、 を備える、装置であって、 該ゲートシリサイド領域の厚さが該ソースおよびドレイン領域の厚さより厚い
    、 集積金属絶縁体半導体電界効果トランジスタ(MISFET)デバイス。
JP2000571483A 1998-09-21 1999-05-19 シリコン本体にシリサイド領域を形成する方法 Expired - Fee Related JP4729175B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/158,346 1998-09-21
US09/158,346 US6387803B2 (en) 1997-01-29 1998-09-21 Method for forming a silicide region on a silicon body
PCT/US1999/011175 WO2000017913A2 (en) 1998-09-21 1999-05-19 Method for forming a silicide region on a silicon body

Publications (2)

Publication Number Publication Date
JP2003522402A true JP2003522402A (ja) 2003-07-22
JP4729175B2 JP4729175B2 (ja) 2011-07-20

Family

ID=22567710

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000571483A Expired - Fee Related JP4729175B2 (ja) 1998-09-21 1999-05-19 シリコン本体にシリサイド領域を形成する方法

Country Status (6)

Country Link
US (1) US6387803B2 (ja)
EP (1) EP1149409A4 (ja)
JP (1) JP4729175B2 (ja)
KR (1) KR20010074863A (ja)
TW (1) TW461074B (ja)
WO (1) WO2000017913A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777275B1 (en) * 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6689687B1 (en) * 2001-02-02 2004-02-10 Advanced Micro Devices, Inc. Two-step process for nickel deposition
JP3485103B2 (ja) * 2001-04-19 2004-01-13 セイコーエプソン株式会社 Mos型トランジスタ及びその製造方法
US6902966B2 (en) * 2001-10-25 2005-06-07 Advanced Micro Devices, Inc. Low-temperature post-dopant activation process
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
KR100612853B1 (ko) * 2004-07-21 2006-08-14 삼성전자주식회사 와이어 형태의 실리사이드를 포함하는 Si 계열 물질층및 그 제조방법
US7241700B1 (en) 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7553732B1 (en) * 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7732289B2 (en) * 2005-07-05 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a MOS device with an additional layer
US7423317B2 (en) * 2005-07-27 2008-09-09 International Rectifier Corporation Split electrode gate trench power device
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
US7439123B2 (en) * 2005-10-31 2008-10-21 International Business Machines Corporation Low resistance contact semiconductor device structure
US7569463B2 (en) 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7795124B2 (en) * 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
DE102006046376B4 (de) * 2006-09-29 2011-03-03 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Feldeffekttransistoren mit Technik zum lokalen Anpassen von Transistoreigenschaften durch Verwenden fortschrittlicher Laser/Blitzlichtausheizverfahren geeignet auch für die Herstellung von Transistorelementen von SRAM-Zellen
US8148663B2 (en) * 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
KR20090126849A (ko) * 2008-06-05 2009-12-09 주식회사 동부하이텍 반도체 소자 및 이를 위한 sti 형성 방법
CN101987396B (zh) * 2009-07-31 2014-02-19 鸿富锦精密工业(深圳)有限公司 锆基块体非晶合金激光焊接方法及焊接结构
JP5225957B2 (ja) * 2009-09-17 2013-07-03 東京エレクトロン株式会社 成膜方法および記憶媒体
US9064942B2 (en) 2013-01-28 2015-06-23 International Business Machines Corporation Nanowire capacitor for bidirectional operation
US9006104B2 (en) 2013-06-05 2015-04-14 Globalfoundries Inc. Methods of forming metal silicide regions on semiconductor devices using millisecond annealing techniques
US9437756B2 (en) 2013-09-27 2016-09-06 Sunpower Corporation Metallization of solar cells using metal foils
CN103887149B (zh) * 2014-03-20 2017-01-04 上海华力微电子有限公司 一种降低镍管道缺陷的方法
RU2610056C1 (ru) * 2015-11-19 2017-02-07 Федеральное государственное бюджетное образовательное учреждение высшего образования Чеченский государственный университет (ФГБОУ ВО "Чеченский государственный университет") Способ изготовления полупроводникового прибора
CN107369712A (zh) * 2016-05-13 2017-11-21 上海新昇半导体科技有限公司 半导体结构及其形成方法
DE102018214778A1 (de) * 2018-08-30 2020-03-05 Siemens Aktiengesellschaft Verfahren zur Fertigung von Leiterbahnen und Elektronikmodul

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS624371A (ja) * 1985-06-28 1987-01-10 ノ−ザン・テレコム・リミテツド 耐熱金属珪化物を用いてvlsi回路を製造する方法
JPH0974199A (ja) * 1995-01-12 1997-03-18 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO1998033206A1 (en) * 1997-01-29 1998-07-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4439245A (en) 1982-01-25 1984-03-27 Rca Corporation Electromagnetic radiation annealing of semiconductor material
JP2973492B2 (ja) 1990-08-22 1999-11-08 ソニー株式会社 半導体薄膜の結晶化方法
US5162239A (en) 1990-12-27 1992-11-10 Xerox Corporation Laser crystallized cladding layers for improved amorphous silicon light-emitting diodes and radiation sensors
JP3277533B2 (ja) 1992-01-08 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3211377B2 (ja) 1992-06-17 2001-09-25 ソニー株式会社 半導体装置の製造方法
JP3211394B2 (ja) 1992-08-13 2001-09-25 ソニー株式会社 半導体装置の製造方法
US5481121A (en) * 1993-05-26 1996-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US5366926A (en) 1993-06-07 1994-11-22 Xerox Corporation Low temperature process for laser dehydrogenation and crystallization of amorphous silicon
US5529937A (en) * 1993-07-27 1996-06-25 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating thin film transistor
JP3221473B2 (ja) * 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW280943B (ja) * 1994-07-15 1996-07-11 Sharp Kk
US5565377A (en) 1994-10-27 1996-10-15 Regents Of The University Of California Process for forming retrograde profiles in silicon
US5550066A (en) * 1994-12-14 1996-08-27 Eastman Kodak Company Method of fabricating a TFT-EL pixel
US5612235A (en) 1995-11-01 1997-03-18 Industrial Technology Research Institute Method of making thin film transistor with light-absorbing layer
US5573964A (en) * 1995-11-17 1996-11-12 International Business Machines Corporation Method of making thin film transistor with a self-aligned bottom gate using diffusion from a dopant source layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS624371A (ja) * 1985-06-28 1987-01-10 ノ−ザン・テレコム・リミテツド 耐熱金属珪化物を用いてvlsi回路を製造する方法
JPH0974199A (ja) * 1995-01-12 1997-03-18 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO1998033206A1 (en) * 1997-01-29 1998-07-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body

Also Published As

Publication number Publication date
EP1149409A2 (en) 2001-10-31
KR20010074863A (ko) 2001-08-09
US20010012693A1 (en) 2001-08-09
WO2000017913A2 (en) 2000-03-30
WO2000017913A3 (en) 2000-05-25
EP1149409A4 (en) 2001-12-05
JP4729175B2 (ja) 2011-07-20
US6387803B2 (en) 2002-05-14
TW461074B (en) 2001-10-21

Similar Documents

Publication Publication Date Title
JP4729175B2 (ja) シリコン本体にシリサイド領域を形成する方法
EP0976147B1 (en) Method for forming a silicide region on a silicon body
US6297135B1 (en) Method for forming silicide regions on an integrated device
US5236865A (en) Method for simultaneously forming silicide and effecting dopant activation on a semiconductor wafer
US6365476B1 (en) Laser thermal process for fabricating field-effect transistors
US6274488B1 (en) Method of forming a silicide region in a Si substrate and a device having same
US6365446B1 (en) Formation of silicided ultra-shallow junctions using implant through metal technology and laser annealing process
US6100171A (en) Reduction of boron penetration by laser anneal removal of fluorine
US5736461A (en) Self-aligned cobalt silicide on MOS integrated circuits
US6335253B1 (en) Method to form MOS transistors with shallow junctions using laser annealing
JP3277533B2 (ja) 半導体装置の製造方法
JP2002524846A (ja) 小型集積回路の作製における用途に適したガス浸漬レーザアニーリング方法
WO2002101835A1 (fr) Procede de fabrication d'un transistor a effet de champ de type porte de canal
US6420264B1 (en) Method of forming a silicide region in a Si substrate and a device having same
JPS61179578A (ja) 電界効果トランジスタの製作方法
Shima et al. Ultrashallow junction formation by self-limiting LTP and its application to sub-65-nm node MOSFETs
JPH0766152A (ja) 半導体装置の製造方法
JPH0677155A (ja) 半導体基板の熱処理方法
JP2002246329A (ja) 半導体基板の極浅pn接合の形成方法
US7211489B1 (en) Localized halo implant region formed using tilt pre-amorphization implant and laser thermal anneal
JP5103695B2 (ja) 電界効果型半導体装置の製造方法
JP2005302883A (ja) 半導体装置の製造方法
JPH0653157A (ja) 半導体装置の製造方法
KR100481381B1 (ko) 반도체 소자 제조 방법
KR20010066622A (ko) 코발트 스퍼터링에 의한 살리사이드 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100423

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100722

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100729

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100827

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100929

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101025

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110408

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110418

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140422

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees