JP2002134490A - 化学気相蒸着方法及び装置 - Google Patents

化学気相蒸着方法及び装置

Info

Publication number
JP2002134490A
JP2002134490A JP2001315399A JP2001315399A JP2002134490A JP 2002134490 A JP2002134490 A JP 2002134490A JP 2001315399 A JP2001315399 A JP 2001315399A JP 2001315399 A JP2001315399 A JP 2001315399A JP 2002134490 A JP2002134490 A JP 2002134490A
Authority
JP
Japan
Prior art keywords
gas
chamber
cleaning
deposition
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001315399A
Other languages
English (en)
Other versions
JP4070075B2 (ja
JP2002134490A5 (ja
Inventor
Hee-Tae Lee
李▲煕▼泰
Yoon-Sei Park
朴潤世
Kwang-Sig Kim
金光植
Jong-Woo Kim
金鍾優
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2002134490A publication Critical patent/JP2002134490A/ja
Publication of JP2002134490A5 publication Critical patent/JP2002134490A5/ja
Application granted granted Critical
Publication of JP4070075B2 publication Critical patent/JP4070075B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7723Safety cut-off requiring reset
    • Y10T137/7724Thermal

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】ウェーハ上にパーティクルが発生することを防
止するための化学気相蒸着工程を実施するための装置及
び方法を提供する。 【解決手段】蒸着工程を実施するためのチャンバの内部
へフッ素ラジカルを含む洗浄ガスを供給する。チャンバ
40にウェーハをローディングし、ウェーハ上に膜を蒸
着するための蒸着ガスをチャンバ内に供給する。洗浄ガ
ス供給ラインへの蒸着ガスの逆流を防止するために洗浄
ガス供給ラインを通じて逆流防止ガスとしての不活性ガ
スを供給する。従って、洗浄ガス供給ラインは蒸着ガス
により汚染されず、膜を蒸着する間にウェーハ上に発生
するパーティクルが減少し、これにより半導体装置の収
率及び信頼性が向上する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
で化学気相蒸着方法及びこれを実施するための装置に関
するものであり、より詳細には、ウェーハ上にパーティ
クルが発生することを防止するための化学気相蒸着方法
及びこれを実施するための化学気相蒸着装置に関するも
のである。
【0002】
【従来の技術】近来、コンピュータのような情報媒体の
急速な普及により、半導体装置は飛躍的に発展してい
る。その機能面において、半導体装置は高速で動作する
と同時に大容量の貯蔵能力を有することが要求される。
これにより、半導体装置は、集積度、信頼度および応答
速度などを向上させる方向に製造技術が発展している。
半導体装置の主要な製造技術の中で、ウェーハ上に膜を
形成するための化学気相蒸着工程のような加工技術に関
する要求も厳しくなっている。
【0003】一般に、化学気相蒸着工程は、ウェーハが
置かれているチャンバ内に蒸着ガス(ソースガス)を供
給し、この蒸着ガスをチャンバ内で反応させることによ
り、膜をウェーハの表面に蒸着する。しかし、化学気相
蒸着装置において膜形成が完了した後に、チャンバ内で
は、蒸着工程の実施中にウェーハと反応しなかった蒸着
ガスの一部がチャンバ内を浮遊していたり、チャンバの
側壁に蒸着されていたりする。チャンバを浮遊する蒸着
ガスやチャンバの側壁に蒸着された蒸着ガスは、パーテ
ィクルの発生原因になる。パーティクルは、チャンバ内
に挿入される新しいウェーハ上に落下し、これによりウ
ェーハが著しい不良を起こすことがある。このような理
由で、新しいウェーハが挿入され前に、洗浄工程がチャ
ンバ内で実施されなければならない。
【0004】チャンバの洗浄は、チャンバ内のパーティ
クルをエッチングすることができる洗浄ガスをチャンバ
内に導入して実施される。即ち、洗浄ガスをチャンバ内
に供給した後、チャンバ内でインサイチュ(in−si
tu)方式によりプラズマを形成する。続いて、洗浄ガ
スによりパーティクルを洗浄した後、パーティクルをチ
ャンバから排気する。
【0005】しかし、インサイチュ方式によりプラズマ
を形成してチャンバの洗浄を実施する場合、チャンバの
側壁が物理的なスパッタリングにより損傷し、その結
果、チャンバが汚染される。これにより、プラズマ装置
を使用してチャンバの外部でプラズマを形成し、励起さ
れた洗浄ガスをチャンバ内に供給して洗浄する方法も使
用されている。
【0006】図1は化学気相蒸着工程を実施するための
従来の装置である。
【0007】図1を参照すれば、この装置は、化学気相
蒸着工程を実施するためのチャンバ10を具備してい
る。チャンバ10内部の下部には、ウェーハ(W)が置
かれるためのヒータ(heating plate)1
2が配置されている。また、チャンバ10内部の上部に
は、蒸着ガス及び洗浄ガスを供給するためのシャワーヘ
ッド14が配置されている。チャンバの外部には、チャ
ンバ内に蒸着ガスを供給する蒸着ガス供給器が設けられ
ている。蒸着ガスは、様々なガスを混合して作られるの
で、ガス供給部20は、蒸着工程において使用されるガ
スに対応する複数個の蒸着ガス供給部20a、20b、
20cを含む。ガス混合部16は、蒸着ガスを混合する
ためにガス供給部20と連結されている。ガス混合部1
6は、また、チャンバ10の内部と連結されている。従
って、蒸着ガス供給部20a、20b、20cは、各々
に設けられた切換バルブ22a、22b、22cを経
て、さらに蒸着ガス供給ライン18を通じてガス混合部
16にガスを供給する。その後、ガスはガス混合部16
で混合され、チャンバ10の内部へ供給される。
【0008】また、洗浄ガス供給部28は、チャンバ1
0の内部を洗浄する洗浄ガスを供給するために設けられ
ている。洗浄ガスは一つ以上のガスにより作ることがで
きるために、洗浄ガス供給部28は使用されるガスの種
類に対応する複数個の洗浄ガス供給部28a、28bを
含む。洗浄ガス供給部28は、各々切換バルブ30a、
30b、30cを経由し、さらに洗浄ガス供給ライン2
4を通じてプラズマ装置26と連結されており、洗浄ガ
ス供給部28a、28bから供給される洗浄ガスは、チ
ャンバの外部に設けられたプラズマ装置26で励起され
る。プラズマ装置26はガス混合部16と連結されてい
る。プラズマ装置26で励起された洗浄ガスは、ガス混
合部16を通じてチャンバ10内に供給され、これによ
りチャンバ10が洗浄される。
【0009】図1に図示した化学気相蒸着装置を使用し
て、ウェーハの表面に膜を蒸着する際は、ウェーハ
(W)をチャンバ10内にローディングする前に予めチ
ャンバ10内を洗浄する。このようなチャンバ10の洗
浄は、チャンバ10内へ洗浄ガスを供給することにより
実施される。即ち、チャンバ10の側壁に蒸着されたパ
ーティクル及びチャンバ内を浮遊するガスは、チャンバ
10内へ流入する洗浄ガスによりエッチングされ、チャ
ンバ10の外部へ排気される。チャンバ10の洗浄が完
了すると、ウェーハ(W)をチャンバ10内にローディ
ングする。続いて、蒸着ガス供給部20a、20b、2
0cから蒸着ガスをチャンバ10内に供給してウェーハ
(W)上に膜を蒸着する。
【0010】しかし、蒸着工程を実施する際に、蒸着ガ
ス供給部20a、20b、20cから供給される蒸着ガ
スの一部が、洗浄ガス供給ライン24側に逆流すること
になる。チャンバ10を洗浄する際に供給された洗浄ガ
スの一部が、洗浄ガス供給ライン24の内に残っている
ために、残っている洗浄ガスの一部と逆流した蒸着ガス
が反応し、その結果、パーティクルが形成される。パー
ティクルは、蒸着工程の実施中にウェーハ上に落下し、
これによりウェーハに致命的な不良を誘発する。また、
逆流する蒸着ガスは、洗浄ガス供給ライン24上に膜を
蒸着したり、洗浄ガス供給ライン24内を浮遊したりし
て、洗浄ガス供給ライン24を汚染する。従って、蒸着
工程を実施するためにチャンバ内に新しく挿入されるウ
ェーハもパーティクルによって汚染される。
【0011】
【発明が解決しようとする課題】本発明は、化学気相蒸
着方法及びこれを実施するための装置に関するものであ
り、実際に、上記の従来技術が有する限界と不便さに起
因する一つ以上の問題点を克服する。
【0012】本発明の第1目的は、ウェーハ上に形成さ
れたパーティクルを減少させるための化学気相蒸着方法
を提供することである。
【0013】本発明の第2目的は、化学気相蒸着工程を
実施するための装置を提供することである。
【0014】
【課題を解決するための手段】前記第1目的を達成する
ための本発明の化学気相蒸着工程方法は、フッ素ラジカ
ルを含む洗浄ガスを工程チャンバ内部に供給して工程チ
ャンバ内を洗浄する。続いて、ウェーハを工程チャンバ
内にローディングする。続いて、蒸着ガスが洗浄ガス供
給ラインに逆流することを防止しながら、工程チャンバ
内部に蒸着ガスを供給してウェーハ上に膜を蒸着する。
【0015】本発明の第2目的を達成するための化学気
相蒸着を実施するための装置は、化学気相蒸着工程が実
施される工程チャンバを有する。第1供給器は工程チャ
ンバを洗浄するために洗浄ガスを工程チャンバ内に供給
する。プラズマ装置は、工程チャンバと第1供給器との
間に設けられ、第1供給器から供給される洗浄を励起す
る。第2供給器はチャンバの内部へ蒸着ガスを供給し
て、ウェーハ上に膜を蒸着する。混合器は第1供給器と
第2供給器から提供されるガスを混合する。そして、第
1供給器側に蒸着ガスが逆流することを防止するための
機構を具備する。
【0016】前記技術された方法と装置により、ウェー
ハ上に膜を蒸着するとき、蒸着ガスは洗浄ガス供給ライ
ンに逆流することを防止し、これによりウェーハ上に発
生されるパーティクルを効果的に減少させる。
【0017】
【発明の実施の形態】以下、図面を参照して本発明の望
ましい実施形態をより詳細に説明する。
【0018】図2は本発明の第1実施形態による化学気
相蒸着工程を実施するための装置である。図2を参照す
れば、この化学気相蒸着装置(CVD装置)は、ウェー
ハ(W)上に膜を蒸着するための蒸着工程を実施するた
めのチャンバ40を有する。チャンバ40内部の下部に
は、ウェーハ(W)が載置される加熱プレート(hea
ting plate)42が配置されている。加熱プ
レート42は、ヒータを有し、蒸着工程を実施する際に
400乃至600度の温度を維持する。高温に維持され
る加熱プレート42の上部にウェーハ(W)が置かれる
ので、多量の蒸着ガスがウェーハ(W)の表面に膜を形
成するための反応を起こす。チャンバ40内部の上部に
は、それを通して蒸着ガス及び洗浄ガスが供給されるシ
ャワーヘッド44が配置されている。シャワーヘッド4
4は、例えば、多数のホールを有するプレート形状であ
る。蒸着ガスはシャワーヘッド44の多数のホールを通
じて、垂直にウェーハ(W)の表面上に供給され、これ
によりウェーハ(W)上に膜が蒸着される。
【0019】チャンバ40の外部には、蒸着ガス供給部
50が設けられている。蒸着ガス供給部50は、チャン
バ40内で反応して、ウェーハ(W)上に膜を蒸着する
ための蒸着ガスとチャンバ40内部の雰囲気を形成する
ための不活性ガスを供給する。多様な種類のガスが蒸着
ガスとして使用されるために、蒸着ガス供給部50はガ
スの種類に対応する複数個の蒸着ガス供給部50a、5
0b、50cを含む。本実施形態では、第1乃至第3蒸
着ガス供給部50a、50b、50cを具備する蒸着装
置を説明する。しかし、蒸着ガス供給部50の数は3個
に限定されない。ガス混合部46は蒸着ガス供給ライン
48を通じて、蒸着ガス供給部50と連結される。ま
た、ガス混合部46はチャンバ40の内部と連結されて
いる。第1乃至第3蒸着ガス供給部50a、50b、5
0cと各々の蒸着ガス供給ライン48と連結される地点
には、切換バルブ52a、52b、52cが設けられて
おり、これらにより蒸着ガスの供給を制御することがで
きる。従って、第1乃至第3蒸着ガス供給部50a、5
0b、50cから蒸着ガスが供給され、それらの蒸着ガ
スは蒸着ガス供給ライン48を通じてガス混合部46に
供給された後、ガス混合部46を通じて、チャンバ40
の内部に供給される。
【0020】そして、このCVD装置は、チャンバ40
の内部を洗浄するための洗浄ガスを供給する洗浄ガス供
給部58有する。洗浄ガスは、チャンバ40の側壁に吸
着されたパーティクルやチャンバ40内を浮遊するパー
ティクルをエッチングすることができるガスと、チャン
バ内の雰囲気を形成するための不活性ガス(即ち、キャ
リアガス)を混合して作られる。従って、洗浄ガスは様
々なガスを混合して作られるので、洗浄ガス供給部58
は洗浄ガスの種類に対応する複数個の洗浄ガス供給部を
含む。本実施形態では、第1及び第2洗浄ガス供給部5
8a、58bが設けられている。洗浄ガス供給部58は
洗浄ガス供給ライン54を通じて、プラズマ装置56と
連結されているので、洗浄ガス供給部58から供給され
る洗浄ガスは、プラズマ装置56により励起される。プ
ラズマ装置56は、洗浄ガス供給ライン54を通じてガ
ス混合部46と連結されている。
【0021】第1及び第2洗浄ガス供給部58a、58
bと洗浄ガス供給ライン54の連結部との間には、各々
切換バルブ60a、60bが設けられており、これによ
り洗浄ガスの流れを制御することができる。従って、第
1及び第2洗浄ガス供給部58a、58bから供給され
た洗浄ガスは、プラズマ装置56で励起され、励起され
た洗浄ガスはガス混合部46を経てチャンバ40内に供
給される。
【0022】蒸着工程が実施される際、洗浄ガス供給部
58と連結されている切換バルブ60a、60bが閉鎖
されることにより、洗浄ガスはチャンバ40内に供給さ
れない。しかし、洗浄ガス供給ライン54は開放されて
いるので、洗浄ガス供給ライン54に蒸着ガスの一部が
逆流することになる。蒸着ガスが洗浄ガス供給ライン5
4に逆流すると、蒸着ガスにより洗浄ガス供給ライン5
4が汚染され、それによってパーティクルが形成され
る。パーティクルは、工程の実施中にウェーハ(W)上
に落下し、それによりウェーハに激しい不良を起こす。
従って、このCVD装置は、蒸着ガスの逆流を防止する
ためのガスの逆流防止部をさらに有する。
【0023】ガス逆流防止部は洗浄ガス供給ライン54
から分岐された分岐ライン54aを含む。分岐ライン5
4aは、洗浄ガス供給ライン54の任意の箇所に連結さ
れうる。図2に示す例では、分岐ライン54aはプラズ
マ装置56と洗浄ガス供給部58を連結する洗浄ガス供
給ライン54を分岐させるように洗浄ガス供給ライン5
4に連結されている。そして、逆流防止ガス供給部62
が設けられている。逆流防止ガス供給部62は分岐ライ
ン54aの一端部と連結され、蒸着ガスの逆流を防止す
るための逆流防止ガスを供給する。分岐ライン54aと
逆流防止ガス供給部62が連結される地点には、ガスの
供給を制御するための切換バルブ64が具備される。
【0024】この構成により、第1乃至第3蒸着ガス供
給部50a、50b、50cによってチャンバ内に蒸着
ガスを供給すると同時に、逆流防止ガス供給部62で洗
浄ガス供給ライン54を通じて、第2不活性ガス(即
ち、逆流防止ガス)を供給する。したがって、第2不活
性ガスは、蒸着工程の実施中に、連続的に洗浄ガス供給
ライン54を通じて供給されるので、蒸着ガスが洗浄ガ
ス供給ライン54に逆流することを防止することができ
る。
【0025】以下、図2に示した装置を使用した化学気
相蒸着方法を説明する。
【0026】図3はウェーハ上にTEOS(Tetra
−ethyl orthosilicate)膜を形成
する化学気相蒸着方法を示す工程図である。まず、蒸着
工程が実施されるチャンバ内を洗浄する(ステップS1
0)。以前に実施した蒸着工程で発生して、チャンバ内
に残っているパーティクルのために、化学気相蒸着工程
を実施する前に、ポリマ性ガス(即ち、以前の蒸着工程
を実施したときに形成されたポリマ)をエッチングして
排気する方法として、チャンバの洗浄を実施する。チャ
ンバをエッチングするために、エッチング特性が優れた
フッ素ラジカル及びチャンバ内の雰囲気を形成するため
の第1不活性ガスをチャンバ内に供給する。
【0027】具体的に、洗浄ガス供給部はNFガス
(チャンバ40の洗浄のためのアクティブガス)と第1
不活性ガスをプラズマ装置56に供給する。ここで、プ
ラズマ装置はNFガスを励起し、励起されたNF
ス及び励起されない第1不活性ガスが前記チャンバ40
内に流入する。第1不活性ガスとしては、例えば、窒
素、ヘリウム、アルゴンなどを好適な例として挙げるこ
とができる。ここでは、一例としてアルゴンを使用す
る。チャンバ40の側壁に付着したパーティクルやチャ
ンバの内部に浮遊するパーティクルは、流入したフッ素
ラジカルによりエッチングされ、外部へ排気される。
【0028】チャンバ40内にフッ素ラジカルを供給す
るために、NFと第1不活性ガス(Ar)を1:1の
流量比で3000乃至4000ccほどプラズマ装置5
6に供給する。そうすると、プラズマ装置56で形成さ
れるフッ素ラジカル及び第1不活性ガスがチャンバ内に
流入してチャンバを洗浄する。洗浄を実施するときに、
チャンバ40内の圧力は、蒸着工程が実施されるときよ
り高圧に維持される。具体的には、チャンバ内の圧力は
450乃至550Torrに維持される。
【0029】チャンバ40の洗浄が完了すると、洗浄ガ
ス供給部58は洗浄ガスの供給を停止する。その後、チ
ャンバ内に蒸着ガスを供給してチャンバ40の側壁及び
ウェーハが置かれた加熱プレート42の上部をプリコー
ティングする(ステップS12)。プリコーティング
は、時間条件以外はウェーハの蒸着工程条件と同一の条
件で実施される。即ち、プリコーティングは、ウェーハ
の蒸着が実施されるチャンバ40の雰囲気を予め形成
し、側壁に一定厚さほどの汚染されない蒸着物を形成し
て、ウェーハの蒸着が効果的に実施されるようにする。
この時、プリコーティングを実施するためにチャンバ内
に供給される蒸着ガスの一部が洗浄ガス供給ライン54
上に逆流し得る。従って、蒸着ガスをチャンバ40内に
供給するのと同時に、分岐ライン54a及び洗浄ガス供
給ライン54を通じて、逆流防止ガスとして第2不活性
ガスをチャンバ40内に流入する。
【0030】プリコーティングが終了すると、ウェーハ
をチャンバ内のヒータ42の上部にローディングする
(ステップS14)。ウェーハがローディングされる
と、チャンバ40の上部に蒸着ガスが供給され、ウェー
ハの上部に膜の蒸着が実施される(ステップS16)。
【0031】ウェーハにTEOS膜を蒸着する際、TE
OSが2000乃至2500cc、オゾンが13乃至1
6%及び不活性ガスであるヘリウムガスが18000乃
至22000ccの混合ガスがチャンバ40内に供給さ
れる。チャンバ40内の圧力は、170乃至230To
rrを維持する。この時、第2不活性ガスは、洗浄ガス
供給ライン54から分岐された分岐ライン54aを通じ
てチャンバ内に提供される。
【0032】より詳細には、TEOS膜をウェーハ上に
蒸着させる蒸着ガス(反応ガス及び反応ガスを移動させ
るためのキャリアガスを含む)は、TEOS(反応ガ
ス)2000乃至2500ccで、不活性ガスであるヘ
リウムガス(反応ガスを移動させるキャリアガス)が1
8000乃至22000ccで、オゾンを13乃至16
重量%含む混合ガスである。オゾンは、14000乃至
16000ccの酸素をオゾンジェネレータに供給して
生成することができる。蒸着ガスは、第1乃至第3蒸着
ガス供給部50a、50b、50cから供給され、ガス
混合部46で混合されチャンバ内に供給される。このと
きのチャンバ40内の温度は500乃至550℃を維持
し、チャンバ40内の圧力は170乃至230Torr
を維持する。蒸着ガスをチャンバ40内に供給すると
き、同時に逆流防止ガスとして第2不活性ガスが分岐ラ
イン54aを通じてチャンバ内に提供される。第2不活
性ガスとしては、窒素、ヘリウム、アルゴンなどを挙げ
ることができる。第2不活性ガスは洗浄ガス供給ライン
54を通じてチャンバ40内に供給されるので、洗浄ガ
ス供給ライン54に蒸着ガスの逆流を防止することがで
きる。
【0033】洗浄ガス供給ライン54を通じてチャンバ
内に供給される第2不活性ガスの量が小さ過ぎると、蒸
着ガスの逆流を防止する効果が減少し、逆に、第2不活
性ガスの量が多すぎると、蒸着ガスの反応が遅くなっ
て、ウェーハの表面に膜の蒸着が正常になされない。洗
浄ガス供給ライン54を通じてチャンバ内に供給される
第2不活性ガスの量は、蒸着ガスの種類又は蒸着厚さな
どに応じて決定することができ、典型的にはチャンバ内
に流入される蒸着ガス量の30乃至100%を供給する
ことが望ましい。また、蒸着工程を実施するとき、反応
ガスのためのキャリアガスを提供する代わりに、洗浄ガ
ス供給ラインを通じて十分な量の第2不活性ガスを供給
して、これを蒸着ガスの一部としてのキャリアガスとし
て機能させることもできる。
【0034】ウェーハ上への膜の蒸着が完了すると、チ
ャンバ内でウェーハをアンローディングする(ステップ
S18)。
【0035】図4は洗浄ガス、蒸着ガス及び逆流防止ガ
スの供給を説明するためのタイミング図である。図4に
図示されたように逆流防止ガスは、蒸着ガスが供給され
るプリコーティングステップ(S12)及び蒸着ステッ
プ(S16)で、蒸着ガスと共にチャンバ内に供給され
る。逆流防止ガスは洗浄ステップ(S10)では供給さ
れない。洗浄ステップで、第1不活性ガスはアクティブ
ガス(即ち、NFガス)を移送するためのキャリアガ
スとして作用する。
【0036】前記のように、逆流防止のための不活性ガ
ス供給部62及び分岐ライン54aを洗浄ガス供給部と
は別途に設けることができる。しかし、洗浄ガス供給部
58にもチャンバ内に第1不活性ガスを供給するための
供給部がある。従って、この供給部を逆流防止ガス供給
部として同時に使用してもよい。この場合、逆流防止ガ
スは、洗浄ガス供給部から供給され、洗浄ガス供給部側
に蒸着ガスの逆流を防止することができる。
【0037】蒸着ガスが洗浄ガス供給ラインを通じて逆
流しないことにより、洗浄ガス供給ラインが蒸着ガスに
より汚染されない。従って、洗浄ガス供給ラインの汚染
によるウェーハ上へのパーティクルの発生などが減少さ
れるために、半導体装置の収率及び信頼性を向上させる
ことができる。
【0038】図5は本発明の第2実施形態に化学気相蒸
着装置を説明するための構成図である。本実施形態によ
る方法と装置では、蒸着ガスの逆流を防止するために不
活性ガスを供給する代わりに、切換バルブが設けられ
る。本実施形態で化学気相蒸着工程を実施する装置及び
方法は、第1実施形態での装置及び方法と実施的に同一
である。従って、第1実施形態と同一の部材について
は、同一の参照符号として示す。かつ、実施形態1で説
明した化学気相蒸着装置と同一な部分の説明は省略す
る。
【0039】図5を参照して、第2実施形態による化学
気相蒸着工程を実施するための装置について説明する。
本実施形態の化学気相蒸着装置において、蒸着工程を実
施するためのチャンバ40と、洗浄ガスを供給するため
の洗浄ガス供給部58と、洗浄ガス供給部58から供給
される洗浄ガスを励起するためのプラズマ装置56と、
蒸着ガスを供給するための蒸着ガス供給部50と、ガス
を混合するためのガス混合部46は、実施形態1と同様
に構成される。しかし、本実施形態では、図2で蒸着ガ
ス逆流防止のために、洗浄ガス供給ライン54から分岐
される分岐ライン54aと、分岐ライン54aに連結さ
れた逆流防止ガス供給部62は具備されない。ここで、
第1実施形態において分岐ライン54aを通じて供給さ
れた不活性ガスが、本実施形態において蒸着工程中に雰
囲気ガスとして必要である場合には、蒸着ガス供給部を
さらに具備し、蒸着ガス供給部を通じてチャンバ内に供
給すればよい。
【0040】本実施形態では、洗浄ガス供給部への蒸着
ガスの逆流を防止するために、洗浄ガス供給ライン54
とガス混合部46との間の連結地点に切換バルブ66を
具備する。切換バルブ66は、チャンバ40の洗浄を実
施するときにのみ開放され、チャンバ40のプリコーテ
ィング又は蒸着工程を実施するときは閉鎖される。
【0041】上述した図5に示した化学気相蒸着装置を
使用して、本実施形態による化学気相蒸着方法を図6を
参照して説明する。
【0042】次の化学気相蒸着方法は、第1実施形態と
類似している。
【0043】まず、蒸着工程が実施されるチャンバ40
内を洗浄する(ステップS20)。チャンバ40の洗浄
のために、エッチング特性が優れるフッ素ラジカル及び
チャンバ内の雰囲気を形成するための不活性ガス(フッ
素ラジカルを移送させるためのキャリアガス)をチャン
バ40内に供給する。フッ素ラジカルはチャンバ40の
外部に設けられているプラズマ装置56によりNF
スを励起することにより形成される。チャンバ40の洗
浄が完了すると、洗浄ガスの供給を停止する。その後、
洗浄ガス供給ライン54とガス混合部との間に設けられ
ている切換バルブ66を閉鎖する(ステップS22)。
【0044】その後、蒸着ガスをチャンバ40内に供給
して、チャンバ40の側壁及びウェーハが置かれている
加熱プレート42の上部をプリコーティングする(ステ
ップS24)。プリコーティングは、時間条件以外はウ
ェーハを蒸着するための蒸着工程と同一の工程条件によ
り実施される。プリコーティングにより、ウェーハの蒸
着が実施されるチャンバ40雰囲気を予め組成し、側壁
に一定厚さで汚染されない蒸着物を形成して、ウェーハ
の蒸着が効果的に実施されるようにする。この時、洗浄
ガスが供給されるラインが閉鎖されているので、蒸着工
程が実施されるの間に、蒸着ガスが洗浄ガス供給ライン
に逆流することができない。
【0045】プリコーティングが完了すると、ウェーハ
をヒータ42の上部にローディングする(ステップS2
6)。ウェーハがローディングされると、チャンバの上
部から蒸着ガスが供給されウェーハの上部に膜が蒸着さ
れる(ステップS28)。膜が蒸着される間も継続して
切換バルブ66は閉鎖されているので、蒸着ガスは洗浄
ガスが供給されるラインに逆流することができない。従
って、洗浄ガスが供給されるラインに蒸着ガスが逆流す
ることによってウェーハ上にパーティクルが発生するこ
とを防止することができる。蒸着工程が終了すると、チ
ャンバ内でウェーハをアンローディングする(ステップ
S30)。
【0046】以上、本発明の実施例によって詳細に説明
したが、本発明はこれに限定されず、本発明が属する技
術分野において通常の知識を有するものであれば本発明
の思想と精神を逸脱することなく、本発明を修正または
変更できるであろう。
【0047】
【発明の効果】本発明によると、化学気相蒸着工程を実
施して、ウェーハ上に膜を形成するときにチャンバの内
部に供給される蒸着ガスが、チャンバを洗浄するための
洗浄ガス供給ラインに逆流しない。従って、蒸着ガスが
洗浄ガス供給ラインに逆流することによって洗浄ガス供
給ラインが汚染されないので、ウェーハ上に膜を蒸着す
るときに、汚染によりウェーハ上に発生するパーティク
ルを顕著に減少される。従って、パーティクルの減少に
より収率上昇及び信頼性が向上される効果がある。
【図面の簡単な説明】
【図1】従来の化学気相蒸着工程を実施することができ
る装置を示す概略図である。
【図2】本発明の第1実施形態による化学気相蒸着工程
を実施することができる装置を示す概略図である。
【図3】図2に図示した装置により化学気相蒸着工程を
実施する方法を示す工程図である。
【図4】図2に図示した化学気相蒸着装置でガスの供給
を説明するためのタイミング図である。
【図5】本発明の第2実施形態による化学気相蒸着工程
を実施することができる装置を示す簡略図である。
【図6】図5に図示した化学気相蒸着装置で化学気相蒸
着工程を実施するための方法を示す工程図である。
【符号の説明】
40 チャンバ 42 加熱プレート 44 シャワーヘッド 46 ガス混合部 50 蒸着ガス供給部 54 洗浄ガス供給ライン 56 プラズマ装置 58 洗浄ガス供給部 62 逆流防止ガス供給部 64 切換バルブ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 金光植 韓国京畿道水原市八達区仁渓洞384番地住 公アパート111棟504号 (72)発明者 金鍾優 韓国京畿道水原市八達区霊通洞住公アパー ト107棟404号 Fターム(参考) 4K030 CA04 CA12 EA03 EA08 JA05 KA09 KA41 KA47 5F004 AA15 BB28 BC03 BD04 CA01 DA17 DA22 DA27 5F045 AA08 AB32 AC07 AC17 AD09 AE25 BB14 DP03 EB06 EC10 EE04 EE05 EE14 EE18 EF05

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】洗浄ガス供給ラインを通じて洗浄ガスを工
    程チャンバ内に供給して前記工程チャンバを洗浄する段
    階と、 前記工程チャンバが洗浄された後にウェーハをローディ
    ングする段階と、 前記工程チャンバの内部に蒸着ガスを供給して、前記ウ
    ェーハ上に膜を蒸着しながら、同時に前記蒸着ガスが前
    記洗浄ガス供給ラインに逆流することを防止する段階を
    含むことを特徴とする化学気相蒸着方法。
  2. 【請求項2】前記蒸着を実施する間に、前記蒸着ガスが
    洗浄ガス供給ラインに逆流することを防止するために、
    前記洗浄ガスが供給されるラインを通じて、前記チャン
    バ内に逆流防止ガスを供給することを特徴とする請求項
    1に記載の化学気相蒸着方法。
  3. 【請求項3】前記逆流防止ガスは、窒素、アルゴン及び
    ヘリウムからなる群から選択されたいずれか一つである
    ことを特徴とする請求項2に記載の化学気相蒸着方法。
  4. 【請求項4】前記逆流防止ガスの流量は、前記蒸着ガス
    流量の30乃至100%であることを特徴とする請求項
    2に記載の化学気相蒸着方法。
  5. 【請求項5】前記洗浄ガスは、前記チャンバの外部でN
    ガスを励起して生成されたフッ素ラジカルを含むガ
    スであることを特徴とする請求項1に記載の化学気相蒸
    着方法。
  6. 【請求項6】前記洗浄ガスは、アクティブガス、及び、
    該アクティブガスを移送するためのキャリアガスとして
    の不活性ガスを含むことを特徴とする請求項1に記載の
    化学気相蒸着方法。
  7. 【請求項7】前記不活性ガスは、窒素、アルゴン及びヘ
    リウムからなる群から選択されたいずれか一つであるこ
    とを特徴とする請求項6に記載の化学気相蒸着方法。
  8. 【請求項8】前記キャリアガスは、前記蒸着ガスが洗浄
    ガス供給ライン側に逆流することを防止するために前記
    洗浄ガス供給ラインを通じて供給される逆流防止ガスと
    同一のガスであり、同一のソースから供給されることを
    特徴とする請求項6に記載の化学気相蒸着方法。
  9. 【請求項9】前記キャリアガスは、前記蒸着ガスが洗浄
    ガス供給ライン側に逆流することを防止するために前記
    洗浄ガス供給ラインを通じて供給される逆流防止ガスと
    異なるガスであり、前記逆流防止ガスソースと異なるソ
    ースから供給されることを特徴とする請求項6に記載の
    化学気相蒸着方法。
  10. 【請求項10】前記チャンバを洗浄する段階後、半導体
    ウェーハをローディングしない状態でチャンバの内部に
    蒸着ガスを供給して、前記チャンバ内部の側壁に膜をコ
    ーティングするためのプリコーティング段階をさらに実
    施することを特徴とする請求項1に記載の化学気相蒸着
    方法。
  11. 【請求項11】前記チャンバの内部に蒸着ガスを供給し
    ながら、前記洗浄ガス供給ラインを通じて、前記チャン
    バ内に逆流防止ガスを流入することを特徴とする請求項
    10に記載の化学気相蒸着方法。
  12. 【請求項12】前記蒸着を実施する間に、前記洗浄ガス
    流入ラインに蒸着ガスが逆流することを防止するため
    に、前記洗浄ガスが供給されるラインと前記チャンバと
    を連結する連結部を閉鎖することを特徴とする請求項1
    に記載の化学気相蒸着方法。
  13. 【請求項13】前記チャンバを洗浄する段階後、半導体
    ウェーハをローディングしない状態でチャンバの内部に
    蒸着ガスを供給して、前記チャンバ内部の側壁に膜をコ
    ーティングするためのプリコーティング段階をさらに実
    施することを特徴とする請求項12に記載の化学気相蒸
    着方法。
  14. 【請求項14】前記チャンバの内部に蒸着ガスを供給し
    て前記チャンバ内部の側壁に膜をコーティングする間
    に、前記洗浄ガスが供給されるラインと前記チャンバと
    を連結する連結部を閉鎖することを特徴とする請求項1
    3に記載の化学気相蒸着方法。
  15. 【請求項15】化学気相蒸着工程を実施するためのチャ
    ンバと、 前記チャンバと連結されチャンバの洗浄を実施するガス
    を供給するための洗浄ガス供給器と、 前記洗浄ガス供給器から供給される洗浄ガスを励起する
    ためのプラズマ装置と、 前記チャンバの内部に蒸着ガスを供給してウェーハ上に
    膜を蒸着するための蒸着ガス供給器と、 前記洗浄ガス供給器及び蒸着ガス供給器から供給される
    ガスを混合して、チャンバ内に混合ガスを供給するため
    のガス混合器と、 前記蒸着ガスが前記洗浄ガス供給器に逆流することを防
    止するためのガス逆流防止器とを含むことを特徴とする
    化学気相蒸着装置。
  16. 【請求項16】前記洗浄ガス供給器及び蒸着ガス供給器
    は、各々、 ガスを供給するためのガス供給部と、 前記ガス供給部で供給されるガスを前記チャンバ内に供
    給するためのガス供給ラインと、 前記ガス供給部と前記ガス供給ラインとの間の連結地点
    に設けられ前記供給されるガスを制御するための切換バ
    ルブとを含むことを特徴とする請求項15に記載化学気
    相蒸着装置。
  17. 【請求項17】前記ガス逆流防止器は、 不活性ガスを供給する不活性ガス供給部と、 前記不活性ガスを前記洗浄ガス供給器のガス供給ライン
    を通じて、チャンバ内に供給するための不活性ガス供給
    ラインと、 前記不活性ガス供給部と前記ガス供給ラインとが連結さ
    れる連結地点に設けられ、前記不活性ガス供給量を制御
    するための切換バルブとを含むことを特徴とする請求項
    15に記載の化学気相蒸着装置。
  18. 【請求項18】前記ガス逆流防止器は、前記洗浄ガス供
    給器と前記ガス混合器との連結部に設けられた切換バル
    ブを含むことを特徴とする請求項15に記載の化学気相
    蒸着装置。
  19. 【請求項19】前記切換バルブは、前記蒸着ガス供給器
    によりチャンバ内部に蒸着ガスが供給される場合に自動
    的に閉鎖されることを特徴とする請求項18に記載の化
    学気相蒸着装置。
  20. 【請求項20】前記洗浄ガスは、フッ素ラジカルを含む
    ことを特徴とする請求項15に記載の化学気相蒸着装
    置。
JP2001315399A 2000-10-18 2001-10-12 化学気相蒸着方法及び装置 Expired - Fee Related JP4070075B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2000-0061264A KR100375102B1 (ko) 2000-10-18 2000-10-18 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
KR2000-61264 2000-10-18

Publications (3)

Publication Number Publication Date
JP2002134490A true JP2002134490A (ja) 2002-05-10
JP2002134490A5 JP2002134490A5 (ja) 2005-05-26
JP4070075B2 JP4070075B2 (ja) 2008-04-02

Family

ID=19694089

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001315399A Expired - Fee Related JP4070075B2 (ja) 2000-10-18 2001-10-12 化学気相蒸着方法及び装置

Country Status (3)

Country Link
US (1) US6819969B2 (ja)
JP (1) JP4070075B2 (ja)
KR (1) KR100375102B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101275261B1 (ko) * 2007-04-23 2013-06-14 주식회사 원익아이피에스 세정 및 배기 장치
US8652258B2 (en) 2006-11-10 2014-02-18 Hitachi Kokusai Electric Inc. Substrate treatment device
KR101398884B1 (ko) * 2013-12-31 2014-05-27 한국세라믹기술원 경사 기능성 코팅층 형성에 적합한 서스펜션 플라즈마 용사 장치용 서스펜션 공급기
WO2021033612A1 (ja) * 2019-08-22 2021-02-25 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100491396B1 (ko) * 2002-12-03 2005-05-25 삼성전자주식회사 반도체 소자의 피이-테오스(pe-teos)막 형성 방법
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
DE20321795U1 (de) * 2003-12-11 2010-03-04 Voith Patent Gmbh Vorrichtung zum Reinigen wenigsten einer Prozesskammer zum Beschichten wenigstens eines Substrats
KR100886029B1 (ko) * 2004-01-28 2009-02-26 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 처리실 청정화 방법, 기판 처리 장치 및기판 처리 방법
US20070286965A1 (en) * 2006-06-08 2007-12-13 Martin Jay Seamons Methods for the reduction and elimination of particulate contamination with cvd of amorphous carbon
US7851030B2 (en) * 2005-01-27 2010-12-14 United Microelectronics Corp. Method of reducing number of particles on low-k material layer
KR100712529B1 (ko) * 2005-09-02 2007-04-30 삼성전자주식회사 플라즈마 어플리케이터의 인시츄 세정 방법 및 그 세정방법을 채용한 플라즈마 어플리케이터
JP4476232B2 (ja) * 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
KR20090005374A (ko) * 2006-04-18 2009-01-13 울박, 인크 성막 장치, 배리어막 제조 방법
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
KR100994108B1 (ko) * 2008-06-09 2010-11-12 (주)이큐베스텍 플라즈마 세정 시스템에 사용되는 분사기 및 이를 이용한세정 방법
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5067381B2 (ja) * 2009-02-19 2012-11-07 東京エレクトロン株式会社 熱処理装置の運転方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101041359B1 (ko) * 2010-07-13 2011-06-15 (주)이큐베스텍 플라즈마 세정 시스템에 사용되는 분사기
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR20150091769A (ko) * 2014-02-04 2015-08-12 주성엔지니어링(주) 기판처리장치
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105390363A (zh) * 2015-10-29 2016-03-09 上海华力微电子有限公司 一种高密度等离子体机台的管路装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
WO2020060929A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Method for conditioning a plasma processing chamber
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20210292902A1 (en) * 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4657616A (en) 1985-05-17 1987-04-14 Benzing Technologies, Inc. In-situ CVD chamber cleaner
US5063783A (en) * 1990-08-14 1991-11-12 John Zajac Pressure monitoring and method
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
JP3444617B2 (ja) * 1993-02-25 2003-09-08 株式会社リコー 画像形成装置
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP2909364B2 (ja) * 1993-09-20 1999-06-23 東京エレクトロン株式会社 処理装置及びそのクリーニング方法
US5454903A (en) 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JP3768575B2 (ja) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
JPH09246260A (ja) * 1996-03-07 1997-09-19 Kokusai Electric Co Ltd プラズマcvd装置
DE19623677C2 (de) * 1996-06-14 1999-09-16 Knuerr Mechanik Ag Geräteschrank für elektrische und elektronische Systeme
US5988187A (en) * 1996-07-09 1999-11-23 Lam Research Corporation Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3476638B2 (ja) * 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6155289A (en) * 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8652258B2 (en) 2006-11-10 2014-02-18 Hitachi Kokusai Electric Inc. Substrate treatment device
KR101275261B1 (ko) * 2007-04-23 2013-06-14 주식회사 원익아이피에스 세정 및 배기 장치
KR101398884B1 (ko) * 2013-12-31 2014-05-27 한국세라믹기술원 경사 기능성 코팅층 형성에 적합한 서스펜션 플라즈마 용사 장치용 서스펜션 공급기
WO2021033612A1 (ja) * 2019-08-22 2021-02-25 東京エレクトロン株式会社 クリーニング方法及びマイクロ波プラズマ処理装置

Also Published As

Publication number Publication date
JP4070075B2 (ja) 2008-04-02
KR20020030905A (ko) 2002-04-26
US20020045966A1 (en) 2002-04-18
US6819969B2 (en) 2004-11-16
KR100375102B1 (ko) 2003-03-08

Similar Documents

Publication Publication Date Title
JP2002134490A (ja) 化学気相蒸着方法及び装置
US7737005B2 (en) Method for forming Ti film and TiN film, contact structure, computer readable storing medium and computer program
US8021717B2 (en) Film formation method, cleaning method and film formation apparatus
KR102582496B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP5950892B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2006190977A (ja) 成膜方法及び成膜装置並びに記憶媒体
JP2005333110A (ja) 薄膜形成装置、薄膜形成装置の洗浄方法及びプログラム
TWI823889B (zh) 電漿處理裝置之零件之清潔方法
KR20050054983A (ko) 반도체 처리용의 재치대 장치, 성막 장치 및 성막 방법
CN101440482A (zh) 薄膜形成装置及其使用方法
TW201619436A (zh) 基板處理方法及基板處理裝置
JP2008066413A (ja) シャワーヘッド構造及びこれを用いた処理装置
JPWO2008047838A1 (ja) Ti系膜の成膜方法および記憶媒体
JP2020147829A (ja) 成膜方法および成膜装置
JP7198908B2 (ja) 基板処理装置、反応容器、半導体装置の製造方法およびプログラム
US20220262630A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US20220208557A1 (en) Method of processing substrate, method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
JP4312198B2 (ja) 薄膜形成装置の洗浄方法、薄膜形成装置及びプログラム
JP2020059911A (ja) 成膜方法及び基板処理システム
CN111560601B (zh) 基板处理方法和基板处理装置
US11618947B2 (en) Method of cleaning, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2000232071A (ja) 基板処理方法および基板処理装置
JP4505915B2 (ja) 成膜方法
US20060141152A1 (en) CVD apparatus and manufacturing method of semiconductor device using the same
JP5661444B2 (ja) 薄膜形成装置、薄膜形成装置の洗浄方法及びプログラム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040726

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040726

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071018

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080110

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120125

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130125

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140125

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees