JP2002016050A - ドライエッチングガスおよびドライエッチング方法 - Google Patents

ドライエッチングガスおよびドライエッチング方法

Info

Publication number
JP2002016050A
JP2002016050A JP2000339908A JP2000339908A JP2002016050A JP 2002016050 A JP2002016050 A JP 2002016050A JP 2000339908 A JP2000339908 A JP 2000339908A JP 2000339908 A JP2000339908 A JP 2000339908A JP 2002016050 A JP2002016050 A JP 2002016050A
Authority
JP
Japan
Prior art keywords
cfcf
gas
silicon
dry etching
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000339908A
Other languages
English (en)
Other versions
JP4839506B2 (ja
Inventor
Zenko Hirose
全孝 廣瀬
Shingo Nakamura
新吾 中村
Mitsushi Itano
充司 板野
Hiroichi Aoyama
博一 青山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Daikin Industries Ltd
Original Assignee
Daikin Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd filed Critical Daikin Industries Ltd
Priority to JP2000339908A priority Critical patent/JP4839506B2/ja
Publication of JP2002016050A publication Critical patent/JP2002016050A/ja
Application granted granted Critical
Publication of JP4839506B2 publication Critical patent/JP4839506B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】高アスペクト比のコンタクトホールを形成す
る、及び低誘電率膜を良好にエッチングする。 【解決手段】CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2
必要に応じてHe、Ne、Ar、Xe、Kr、O2、CO及びCO2から
なる群から選ばれる少なくとも1種のガスと混合してな
るドライエッチングガスプラズマ(例えば、ICP放電電力
200-3000W,バイアス電力50-2000W,圧力100mTorr(13.3P
a)以下)で、酸化シリコン膜及び/又は窒化シリコン膜を
レジスト、シリコンに対して選択的にエッチングする方
法;二重結合を二つ有する一般式(1):CaFbHc(a=4〜
7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表される
化合物を少なくともひとつ含むドライエッチングガス及
び該ガスプラズマで、酸化シリコン膜及び/又はシリコ
ンを含有する低誘電率膜などのシリコン系材料をエッチ
ングするドライエッチング方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ドライエッチング
ガス、ドライエッチング方法及びレジストパターンより
も微細なコンタクトホールを形成する方法に関する。
【0002】
【従来の技術】半導体デバイスの微細化とともに、ホー
ル径の小さい、高アスペクト比のコンタクトホールが必
要になってきた。従来、Arを多量に混合したc-C4F8/Ar
(/O2)ガスプラズマでコンタクトホールが形成されるこ
とが多かったが、環状C4F8は地球温暖化をさせる効果の
高いガスであり、今後の使用が制限される可能性が高
い。また、環状C4F8にArを混合しないと、対レジスト選
択比、対シリコン選択比がとれず、さらに酸素を微量添
加しないと微細なパターンではエッチングがストップし
てしまうし、酸素を添加することでレジスト、シリコン
に対する選択比が低下する。Arを多量に混合すると高エ
ネルギー電子が多くなり、デバイスにダメージを与える
問題も報告されている。
【0003】
【発明が解決しようとする課題】本発明は、高アスペク
ト比のコンタクトホールを形成でき、また、低誘電率膜
などを良好にエッチングできるドライエッチングガスお
よびエッチング方法を提供することを目的とする。
【0004】
【課題を解決するための手段】本発明は、以下の項1〜
項11を提供するものである。項1 CF3CF=CFCF=CF2
び/又はCF2=CFCF=CF2からなるドライエッチングガス。
項2 CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2をHe、N
e、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる
少なくとも1種のガスと混合してなるドライエッチング
ガス。項3 CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる
群から選ばれる少なくとも1種のガスプラズマで、酸化
シリコン膜及び/又は窒化シリコン膜をレジスト、シリ
コンに対して選択的にエッチングする方法。項4 CF2=
CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少
なくとも1種とHe、Ne、Ar、Xe、Kr、O2、CO及びCO2
らなる群から選ばれる少なくとも1種のガスの混合ガス
プラズマで、酸化シリコン膜及び/又は窒化シリコン膜
をレジスト、シリコンに対して選択的にエッチングする
方法。項5 ウェハー温度を制御することにより、エッ
チングガス由来のポリマーをレジスト開口部位置に選択
的に堆積させながら、CF2=CFCF=CF2及びCF3CF=CFCF=CF2
からなる群から選ばれる少なくとも1種のエッチングガ
スプラズマでエッチングすることを特徴とするレジスト
パターンよりも微細なコンタクトホールを形成する方
法。 項6 二重結合を二つ有する一般式(1): CaFbHc(1) (a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表
される化合物を少なくともひとつ含むドライエッチング
ガス。項7 CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFC
F2CF2CF=CF2などからなるパーフロロメチル基-CF3を有
しない二重結合を二つ持つ化合物、CF3CF=CFCF=CF2、CF
3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3、CF3CF=C(CF3)CF=
CF2などからなる二重結合に直接結合したCF3CF部分と二
重結合を二つ持つ化合物、 CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2, CF2=CFCF(C
F3)CF=CF2、 CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2などからなる
主鎖から分岐したパーフロロメチル基-CF3を有する二重
結合を二つ持つ化合物及びCF3CF2CF=CFCF=CF2, CF2=C(C
F2CF3)CF=CF2などからなるパーフロロメチル基-CF3より
も大きい基を有する二重結合を二つ持つ化合物からなる
群から選ばれる少なくとも1種のガスからなるドライエ
ッチングガス。 項8 CF2=CFCF=CF2とCF2=CFCF2CF=CF2、CF2=CFCF=CF2とCF3CF
=CFCF=CF2、CF2=CFCF=CF2とCF2=C(CF3)CF=CF2、CF2=CFC
F=CF2とCF2=C(CF3)C(CF3)=CF2、CF2=CFCF2CF=CF2とCF3C
F=CFCF=CF2、CF2=CFCF2CF=CF2とCF2=C(CF3)CF=CF2、CF2
=CFCF2CF=CF2とCF2=C(CF3)C(CF3)=CF2、CF3CF=CFCF=CF2
とCF2=C(CF3)CF=CF2、CF3CF=CFCF=CF2とCF2=C(CF3)C(CF
3)=CF2、 CF2=C(CF3)CF=CF2とCF2=C(CF3)C(CF3)=CF2又は CF3CF=CFCF=CFCF3とCF2=C(CF2CF3)CF=CF2 のいずれかの組み合わせからなるドライエッチングガ
ス。項9 さらに希ガス、不活性ガス、NH3、H2、炭化
水素、O2、酸素化合物、ハロゲン化合物、HFC(Hydroflu
orocarbon)及び二重結合を持つPFC(perfluorocarbon)ガ
スからなる群から選ばれる少なくとも1種を含む項1、
2、6〜8のいずれかに記載のドライエッチングガス。
項10 さらにHe、Ne、Ar、Xe、Krからなる群から選ば
れる希ガス、N2からなる不活性ガス、NH3、H2、CH4、C2
H6、C3H8、C2H4、C3H6などからなる炭化水素、O2、CO、
CO2、(CF3)2C=O、CF3CFOCF2、CF3OCF3などからなる酸素
化合物、CF3I、CF 3CF2I、(CF3)2CFI、CF3CF2CF2I、CF3B
r、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3Cl、CF3CF
2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2=CFCl、C
F2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などからなるハ
ロゲン化合物、CH2F2、CHF3、CHF3、CF3CHF2、CHF2CH
F2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、CF2=CHF、C
HF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=CH2、CH
3CF=CH2などからなるHFC(Hydrofluorocarbon)及びCF2=C
F2、c-C5F8などからなる二重結合を持つPFC(perfluoroc
arbon)ガスからなる群から選ばれる少なくとも1種のガ
スを含む項1、2及び6〜10のいずれかに記載のドラ
イエッチングガス。項11 項1、2及び6〜10のい
ずれかに記載のドライエッチングガスのガスプラズマ
で、酸化シリコン膜及び/又はシリコンを含有する低誘
電率膜などのシリコン系材料をエッチングすることを特
徴とするドライエッチング方法。項12 項1、2及び
6〜9のいずれかに記載のドライエッチングガスのガス
プラズマで、CF+イオンを主とするイオン群と密度の低い
フルオロカーボンポリマー膜を形成する高分子ラジカル
とのバランスをとって酸化シリコン膜及び/又はシリコ
ンを含有する低誘電率膜などのシリコン系材料をエッチ
ングすることを特徴とするドライエッチング方法。
【0005】
【発明の実施の形態】本発明で使用するドライエッチン
グガスは、分子中に二重結合を二つ有して 一般式(1): CaFbHc(1) (a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表
される化合物を少なくとも1種をからなる。好ましい一
般式(1)の化合物として、具体的には、以下の化合物が
例示される。
【0006】パーフロロメチル基-CF3を有しない二重結
合を二つ持つ化合物としては、 CF2=CFCF=CF2, CF2=CHCF=CF2, CHF=CFCF=CF2, CF2=CHCH
=CF2,CF2=CFCF2CF=CF2 , CF2=CHCF2CF=CF2 , CF2=CFCHF
CF=CF2 ,CF2=CHCF2CH=CF2 , CF2=CFCH2CF=CF2 ,CF2=CFC
F2CF2CF=CF2 , CF2=CHCF2CF2CF=CF2 , CF2=CFCHFCF2CH=
CF2 , CF2=CHCHFCF2CF=CF2 , CF2=CHCF2CF2CH=CF2 , CF
2=CFCH2CF2CF=CF2 , CF2=CFCHFCHFCF=CF2 が好ましい。
【0007】二重結合に直接結合したCF3CF部分と二重
結合二つ持つ化合物としては、 CF3CF=CFCF=CF2、CF3CF=CHCF=CF2、CF3CH=CFCF=CF2、CF
3CF=CHCH=CF2、CF3CH=CFCH=CF2、CF3CF=CFCF=CFCF3, CF
3CF=CHCF=CFCF3, CF3CH=CFCF=CFCF3, CF3CF=CHCH=CFC
F3,CF3CH=CHCF=CFCF3,CF3CH=CFCF=CHCF3,CF2=CFCF2CF=C
FCF3, CF2=CHCF2CF=CFCF3, CF2=CFCHF2CF=CFCF3,CF2=CF
CF2CF=CHCF3, CF2=CHCF2CH=CFCF3, CF2=CFCH2CF=CFC
F3,,CF2=CHCF2CF=CHCF3, CF2=CFCHFCF=CHCF3,CF3CF=C(C
F3)CF=CF2, CF3CF=C(CF3)CH=CF2 , CF3CH=C(CF3)CF=CF2
,CF3CH=C(CF3)CH=CF2が好ましい。
【0008】主鎖から分岐したパーフロロメチル基-CF3
を有する二重結合を二つ持つ化合物としては、 CF2=C(CF3)CF=CF2, CF2=C(CF3)CH=CF2 , CF2=C(CHF2)CF
=CF2 ,CF2=C(CHF2)CH=CF2,CF2=C(CH2F)CF=CF2,CF2=C(CF
3)C(CF3)=CF、CF2=C(CHF2)C(CF3)=CF2, CF2=C(CHF2)C(C
HF2)=CF2,CF2=C(CH2F)C(CF3)=CF2,CF2=CFCF(CF3)CF=CF2
, CF2=CHCF(CF3)CF=CF2 , CF2=CFCH(CF3)CF=CF2 ,CF2=
CFCF(CF3)CH=CF2 , CF2=CHCH(CF3)CF=CF2 ,CF2=CHCF(CF
3)CH=CF2 , CF2=CFCH(CF3)CH=CF2 ,CF2=CFCF2C(CF3)=CF
2, CF2=CHCF2C(CF3)=CF2, CF2=CFCHFC(CF3)=CF2,CF2=CF
CH2C(CF3)=CF2,CF2=CHCHFC(CF3)=CF2,CF2=CFCF=C(CF3)2
, CF2=CHCF=C(CF3)2 , CF2=CFCF=C(CHF2) (CF3) ,CF2=
CHCH=C(CF3)2 , CF2=CFCF=C(CF3)(CH2F)が好ましい。
【0009】パーフロロメチル基-CF3よりも大きい基を
有する二重結合を二つ持つ化合物としては、 CF3CF2CF=CFCF=CF2, CF3CF2CF=CHCF=CF2, CF3CF2CF=CFC
H=CF2,CF3CF2CF=CHCH=CF2, CF3CF2CH=CHCF=CF2, CF3CF2
CH=CFCH=CF2,CF2=C(CF2CF3)CF=CF2, CF2=C(CF2CF3)CH=C
F2, CF2=C(CHFCF3)CF=CF2,CF2=C(CHFCF3)CH=CF2が好ま
しい。
【0010】一般式(1)の化合物において、aは4〜7
の整数、好ましくは4〜6である。bは1〜12の整数、好
ましくは3〜12である。cは0〜11の整数、好ましくは0
〜4である。
【0011】本発明で使用するエッチングガスは、好ま
しくは、パーフロロメチル基-CF3を有しない二重結合を
二つ持つ化合物CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=C
FCF2CF2CF=CF2;二重結合に直接結合したCF3CF部分と二
重結合二つ持つ化合物CF3CF=CFCF=CF2、CF3CF=CFCF=CFC
F3, CF2=CFCF2CF=CFCF3,CF3CF=C(CF3)CF=CF2;主鎖から
分岐したパーフロロメチル基-CF3を有する二重結合を二
つ持つ化合物CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=C
F2,CF2=CFCF(CF3)CF=CF2、CF2=CFCF2C(CF3)=CF2 、CF2=C
FCF=C(CF3)2;パーフロロメチル基-CF3よりも大きい基
を有する二重結合を二つ持つ化合物CF3CF2CF=CFCF=CF2,
CF2=C(CF2CF3)CF=CF2;で表される化合物を少なくとも
1種;或いは、好ましくは、炭素数が5以下の CF2=CFCF=CF2、CF2=CHCF=CF2、CHF=CFCF=CF2、CF2=CHCH
=CF2,CF2=CFCF2CF=CF2, CF2=CHCF2CF=CF2, CF2=CFCHFC
F=CF2 ,CF2=CHCF2CH=CF2, CF2=CFCH2CF=CF2, 二重結合に直接結合したCF3CF部分と二重結合二つ持つ
化合物 CF3CF=CFCF=CF2、CF3CF=CHCF=CF2、CF3CH=CFCF=CF2、CF
3CF=CHCH=CF2、CF3CH=CFCH=CF2、 主鎖から分岐したパーフロロメチル基-CF3を有する二重
結合を二つ持つ化合物 CF2=C(CF3)CF=CF2, CF2=C(CF3)CH=CF2 , CF2=C(CHF2)CF
=CF2 ,CF2=C(CHF2)CH=CF2,CF2=C(CH2F)CF=CF2,さらに好
ましくは、 パーフロロメチル基-CF3を有しない二重結合を二つ持つ
化合物 CF2=CFCF=CF2、CF2=CFCF2CF=CF2, 二重結合に直接結合したCF3CF部分と二重結合二つ持つ
化合物 CF3CF=CFCF=CF2 主鎖から分岐したパーフロロメチル基-CF3を有する二重
結合を二つ持つ化合物 CF2=C(CF3)CF=CF2, 特に好ましくはCF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2
を含むエッチングガスである。
【0012】該ドライエッチングガスは単結合を介して
二重結合を二つ有する分子構造を有する。この様な構造
を持つ分子の二重結合は安定である。そのため、プラズ
マ中でも容易に解離せず、プラズマの電子温度を高くす
る傾向がある。この様なプラズマ中では、例えば、CF2=
CFCF=CF2、CF3CF=CFCF=CF2などの二つの二重結合のいず
れかの結合が切れると、ひとつの小さいフラグメントCF
2、CF3CFは、電子温度が高いため、解離が進行してCF+
イオンを生じやすい。ただし、CF3CFからはCF3 +が多く
発生する。もう一つのフラグメントは二重結合を持って
いるので安定化し大きなフラグメントのまま存在しやす
い。例えば、CF2=CFCF=CF2では左右対称な二重結合なの
でCF2とCFCF=CF2に解離し、CF3CF=CFCF=CF2は非対称で
あるので、CF3CF=CFCFとCF2あるいはCF3CFとCFCF=CF2
解離する。このとき生じる比較的大きなフラグメントCF
3CF=CFCF、CFCF=CF2は、これらに由来する比較的大き
な、例えばCF3CF=CFCF、CFCF=CF2のようなラジカルを生
じる。これらのラジカルは構造上大きく、エッチング中
に堆積するフルオロカーボンポリマー膜は立体構造を形
成しやすい。そのため堆積したフルオロカーボンポリマ
ー膜は、粗く密度の低い膜になる。
【0013】実際に誘導結合プラズマ(ICP)において、
堆積したフルオロカーボンポリマー膜の表面粗さRa(平
均面からの偏差nm)と密度をAFMおよびFT-IRで測定し
た。表1に、これらの測定結果をc-C4F8およびC3F6(構造
CF3CF=CF2)の結果と比較して示した。FT−IRの吸光度は
SEMで測定したそれぞれのフルオロカーボン膜の膜厚で
規格化した。この値(任意単位、a.u.(arbitrary unit))
は膜厚10Å(10原子層以下)中の結合数の比を示してお
り、これを膜密度として見積もることができる。また、
表1にはプラズマのイオン比率(%)とフルオロカーボン
ポリマー膜の堆積速度も示した。
【0014】
【表1】
【0015】CF2=CFCF=CF2、CF3CF=CFCF=CF2のガスプラ
ズマではCF+イオンの比率が高い。CF3CF=CFCF=CF2はCF3
CFフラグメントを有しているためCF2=CFCF=CF2よりもCF
3 +を発生しやすく、CF3CFフラグメントに由来するラジ
カルにより密度の高いフルオロカーボンポリマー膜を堆
積させる。同じCF3CF=CF2よりCF3 +が少ないことから、C
F3CF=CFCF=CF2はCF3CF=CFCFとCF2に優先的に開裂してい
る。また、これらのガスプラズマで形成されるフルオロ
カーボンポリマー膜は密度が低く、粗い表面を有し、膜
堆積速度も大きい。この様な結果はCF3CF=CFCF、CFCF=C
F2に由来する高分子ラジカルが多いことを示している。
【0016】このような知見は、二重結合を二つ有する
ドライエッチングガスを用いたエッチングの制御に役立
つ。酸化シリコン膜及び/又はシリコンを含有する低誘
電率膜などのシリコン系材料を選択的にエッチングする
場合では、被エッチング物質上にラジカルが堆積して重
合したフルオロカーボンポリマー膜にイオンが入射しこ
れらの相互作用して形成されたエッチング反応活性層で
エッチング反応が進行する。これに対して、レジストな
どのマスクやシリコンなどの下地では反応活性層が形成
されないのでフルオロカーボンポリマーが保護膜を形成
する。従って、フルオロカーボン膜の前駆体であるラジ
カルとエッチング種であるイオン群とのバランスを取る
ことにより、エッチングの制御が可能となる。イオンと
高分子ラジカルのバランスはエッチングガスの分子構造
で制御できる。この制御を可能とするため、本発明で示
した二重結合を二つ有するドライエッチングガスの主な
ものを例に挙げて大きく以下の四つに分類した。
【0017】(1) パーフロロメチル基-CF3を有しない
二重結合を二つ持つ化合物; CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=C
F2: (2) 二重結合に直接結合したCF3CF部分と二重結合二つ
持つ化合物; CF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF
3,CF3CF=C(CF3)CF=CF2: (3) 主鎖から分岐したパーフロロメチル基-CF3を有す
る二重結合を二つ持つ化合物; CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2,CF2=CFCF(CF
3)CF=CF2、 CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2: (4) パーフロロメチル基-CF3よりも大きい基を有する
二重結合を二つ持つ化合物; CF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2
【0018】(1)のパーフロロメチル基-CF3を有しない
二重結合を二つ持つ化合物は上述のように、表1に示し
たように、エッチング効率が低いCF+イオンとCFCF=CF 2
由来する高分子ラジカル(骨格の炭素が3個以上のラジカ
ル)による密度の低いフルオロカーボンポリマー膜を形
成する。すなわち、分子を大きくし、CF2=CFCF2CF=CF2,
CF2=CFCF2CF2CF=CF2をエッチングガスに用いると、フ
ルオロカーボンポリマー膜はさらに密度の低い膜を形成
する。エッチング効率の低いCF+と密度の低いフルオロ
カーボンポリマー膜により、ダメージの少ないエッチン
グが可能になる。よってレジストなどのマスクやシリコ
ンなどの下地に対してエッチング選択比を上げることが
できる。
【0019】(2)の二重結合に直接結合したCF3CF部分と
二重結合二つ持つ化合物でも上述のように、これらのラ
ジカルは構造上大きく、エッチング中に堆積するフルオ
ロカーボンポリマー膜は立体構造を形成しやすい。その
ため堆積したフルオロカーボンポリマー膜は、粗く密度
の低い膜になる。しかし、表1に示したようにCF3CFフ
ラグメントからは、エッチング効率が高いCF3 +を発生し
やすく、CF3CFフラグメントに由来するラジカルにより
密度の高いフルオロカーボンポリマー膜を堆積させる。
CF3CFの数が増えれば、CF3 +イオンは多く発生し、フル
オロカーボンポリマー膜の密度はさらに高くなる。膜密
度が高い分、エッチング効率の高いCF3 +が多く発生しエ
ッチングのバランスをとることができる。
【0020】(3)の主鎖から分岐したパーフロロメチル
基-CF3を有する二重結合を二つ持つ化合物では、枝分か
れしているので,さらに大きな立体構造をもつラジカル
を発生し、より密度の低いフルオロカーボンポリマー膜
を形成する。メチル基からはCF3 +イオンも発生しやす
い。
【0021】(4)のパーフロロメチル基-CF3よりも大き
い基を有する二重結合を二つ持つ化合物では高分子ラジ
カルにより密度は中程度のフルオロカーボンポリマー膜
を形成し、多少のCF3 +イオンも発生する。
【0022】本発明のドライエッチングガスによるエッ
チングは、密度の低いフルオロカーボンポリマー膜を形
成するラジカルとエッチング効率の小さいCF+イオンの
バランスによるエッチングを基本とする。これを基に、
これらの(1)〜(4)の化合物を単独あるいは混合して使用
する事により、被エッチング物質の材質に応じて、エッ
チング効率が低いCF+、エッチング効率が高いCF3 +等の
イオンやフルオロカーボンポリマー膜の密度を調整して
エッチングすることができる。これらの化合物を単独で
使用しても効果があるが、混合する事により、さらにイ
オンとラジカルの制御がし易い。一般的には、密度が高
いフルオロカーボンポリマー膜を形成するラジカルとエ
ッチング効率の高いCF3 +イオンとの組み合わせ、密度が
低いフルオロカーボンポリマー膜を形成するラジカルと
エッチング効率の低いCF+イオンとの組み合わせができ
るようなプラズマでエッチングすると良好な結果が得ら
れる。この様に、フルオロカーボンポリマー膜の密度が
高くなると、CF3 +を多く供給し、フルオロカーボンポリ
マー膜の密度が低くなるとCF+を多く供給するようにガ
ス組成を制御したプラズマでエッチングすることが重要
である。このガス組成の制御で最も効果的なのは、CF3C
Fフラグメントを有する分子とそうでない分子との組み
合わせである。かかる組み合わせは、これらのガスのガ
ス流量比や圧力比を変えることで実現できる。
【0023】CF3 +イオンを多く発生させたい場合は、側
鎖にパーフルオロメチル基-CF3を有する(3)のCF2=C(C
F3)CF=CF2, CF2=C(CF3)C(CF3)=CF2, CF2=CFCF(CF3)CF=CF2、CF2=CFCF2C(CF3)=CF2 、CF2=CFCF
=C(CF3)2などが有効である。
【0024】CF3 +イオンを多く発生させ膜密度も高くし
たい場合は、(2)のCF3CFフラグメントを多く有するCF3C
F=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3、C
F3CF=C(CF3)CF=CF2などが有効である。
【0025】膜密度をさげてエッチングしたい場合は、
(1)のCF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF
=CF2などが有効である。
【0026】膜密度をある程度得て、CF3 +イオンを多く
発生させたくない場合は(4)のCF3CF 2CF=CFCF=CF2, CF2=
C(CF2CF3)CF=CF2などが有効である。
【0027】好ましい組み合わせは (1)のCF2=CFCF=CF2と(1)のCF2=CFCF2CF=CF2 (1)のCF2=CFCF=CF2と(2)のCF3CF=CFCF=CF2 (1)のCF2=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2 (1)のCF2=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (1)のCF2=CFCF2CF=CF2と(2)のCF3CF=CFCF=CF2 (1)のCF2=CFCF2CF=CF2と(3)のCF2=C(CF3)CF=CF2 (1)のCF2=CFCF2CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2 (2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (3)のCF2=C(CF3)CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (2)のCF3CF=CFCF=CFCF3と(4)のCF2=C(CF2CF3)CF=CF2
【0028】より好ましいのは(1)および/または(3)と
(2)および/または(4)との組み合わせである。
【0029】具体的には、 (1)のCF2=CFCF=CF2と(2)のCF3CF=CFCF=CF2、 (1)のCF2=CFCF2CF=CF2と(2)のCF3CF=CFCF=CF2 (2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2 (2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (3)のCF2=C(CF3)CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2 (2)のCF3CF=CFCF=CFCF3と(4)のCF2=C(CF2CF3)CF=CF2
どが挙げられる。
【0030】さらに、同じ分子構造をもち分子中のフッ
素Fを水素Hに置き換えた本発明のドライエッチングガス
は、プラズマ中でフッ素FをHFとして除去し、炭素濃度
の高いフルオロカーボン膜を形成する事から、レジスト
などのマスクやシリコンなどの下地に対して、エッチン
グ選択比が得やすくなる。また、Hを含んだガスは分子
量が小さいため、エッチング装置にガスとして供給しや
すい利点もある。Hはコンタクトホールエッチングにお
いてシリコンなどの下地にはダメージ層を形成する問題
もあるが、このような問題が生じないそれ以外のプロセ
ス,例えば層間絶縁膜のエッチングなどでは、有効に使
用する事ができる。また、HとFを少ない数、例えば、ひ
とつあるいは二つ置き換えたようなる類似化合物は、置
き換える前の化合物の性質をほとんど変えず、エッチン
グ選択比向上と沸点低下の効果を持つ。これにより、ガ
スラインを加熱して供給しなければならなかった化合物
も、加熱なしに容易に供給できるようになる。
【0031】この様なエッチング選択比の向上や沸点低
下の効果は、分子中のどのFをHで置き換えても起こりう
るが、エッチング特性を維持したままこのような効果を
出すためには、二つの二重結合の間に位置する単結合の
FをHに置き換えるのが効果的である。なぜならば二重結
合を二つ持つ分子では、主に二重結合に直接結合した分
子の両端(CF3CF=やCF2=)の部分からエッチング種を供給
しているからである。例えば、CF3CF=CFCF=CF2の場合、
プラズマ中でCF3CFとCF2から、それぞれCF3 +とCF+をエ
ッチング種として発生する。二つの二重結合の間に位置
する単結合のF、すなわち=CFCF=のFを二つ置き換えて=C
HCH=としたCF3CF=CHCH=CF2であっても、元のCF3CF=CFCF
=CF2のエッチング特性をほとんど損なわず、エッチング
選択比の向上や沸点低下の効果を付加する事ができる。
【0032】また、二重結合に直接結合したCF3CF部分
のFをHで置換したCF3H部分から発生するCF3Hフラグメン
トもCF3CFとほぼ同様の効果を持つ。CF3 +イオンを多く
発生し、CF3CHに由来するラジカルによる密度の高い膜
を形成する効果を損なわない。
【0033】該ドライエッチングガスのプラズマは、例
えば、CF2=CFCF=CF2、CF3CF=CFCF=CF2などガスプラズマ
では、CF+イオンとCF3CF=CFCF、CFCF=CF2フラグメント
から発生する高分子ラジカル(骨格の炭素が3個以上のラ
ジカル)をそれぞれ多く含んでいる。特にガス圧力が低
く、CF3CF=や-CF3などを分子構造内に含まない場合はCF
+を多く発生する。CF+イオンはエッチング効率が低いた
め、バイアス電力が多少高くてもレジストなどのマスク
やシリコンなどの下地に与えるダメージが少なく、高い
エッチング選択比が得られる。CF3CF=CFCF、CFCF=CF2
ラグメントから発生するラジカルは、粗く密度の低いフ
ルオロカーボンポリマー膜を形成する。この膜はレジス
トなどのマスクやシリコンなどの下地を保護しエッチン
グ選択比を向上させるとともに、プラズマ中で被エッチ
ング基板上に堆積し、基板に入射してくるCF+を多く含
むイオン群との相互作用により、被エッチング物質(例
えば酸化シリコン膜など)と密度の低い反応活性層(例え
ば酸化シリコン膜の反応活性層はSiCxFyOzのような層)
を形成する。
【0034】この様なエッチング反応活性層や保護膜を
形成するフルオロカーボン膜の前駆体であるCF3CF=CFC
F、CFCF=CF2フラグメントから発生する高分子ラジカル
(骨格の炭素が3個以上のラジカル)とCF+を多く含むイオ
ン群とのバランスを取ることにより、酸化シリコン膜及
び/又はシリコンを含有する低誘電率膜などのシリコン
系材料を選択的にエッチングする。
【0035】このようなエッチング効率の低いCF+とCF3
CF=CFCF、CFCF=CF2フラグメントから発生する高分子ラ
ジカル(骨格の炭素が3個以上のラジカル)との相互作用
によるエッチングでは、CF+のエッチング効率は低い
が、フルオロカーボンポリマー膜の密度が低いため反応
活性層の密度も低い、イオンが反応活性層深くまで進入
してエッチング反応が起こる。また、反応生成物はこれ
らの低密度の膜から容易に脱離するため、エッチング効
率が低くても、エッチング速度の低下は起こらない。
【0036】MSQ(Methylsilsesquioxane)などのシロキ
サン結合を有する有機高分子材料である有機SOG膜、HSQ
(Hydogensilsesquioxane)などの無機絶縁膜およびこれ
らの多孔質膜などのシリコンを含有する低誘電率膜など
のエッチングにおいては、該ドライエッチングガスは特
に有効である。これらの低誘電率膜では、構造中にメチ
ルCH3や水素Hと結合した部分を有しているため、酸化シ
リコン膜のように十分な反応活性層(SiCxFyOzのような
層)を形成しにくい。このため密度の高いフルオロカー
ボンポリマー膜を形成するガスプラズマを用いると反応
活性層でのエッチング反応よりもフルオロカーボンポリ
マー膜形成が優勢になり、エッチング反応が阻害されや
すい。該ドライエッチングガスでは形成されるフルオロ
カーボンポリマー膜の密度が低いため、十分な量のイオ
ンが反応活性層深くまで進入してエッチング反応が進行
するとともに、反応生成物も容易にこれらの層から抜け
出るため、低誘電率膜において、たとえ十分な反応活性
層が形成されなくてもエッチングが阻害されることはな
い。
【0037】また、フルオロカーボンポリマー膜の密度
が低いため、十分な量のイオンが反応活性層深くまで進
入して反応が進行するため、コンタクトホール、ビアホ
ールおよび配線などのサイズが小さくなり高アスペクト
比のパターンになってもエッチング速度が低下する現象
(マイクロローディング効果という)が生じにくい。
【0038】酸化シリコン膜及び/又はシリコンを含有
する低誘電率膜などのシリコン系材料は、MSQ(Methylsi
lsesquioxane)などのシロキサン結合を有する有機高分
子材料である有機SOG膜、HSQ(Hydogensilsesquioxane)
などの無機絶縁膜およびこれらの多孔質膜、SiOFなどの
酸化シリコン膜中にF(フッ素)を含有する膜、窒化シリ
コン膜、SiOC膜などである。より具体的には、HOSP(商
品名、Honeywell Electronic Materials 社製)、FOx(商
品名、 Dow Corning 社製)、Black Diamond(商品名、
アプライドマテリアルズ社製)、コーラル(商品名、No
vellus社製)などのlow−K膜(比誘電率が4以下の
絶縁膜)などが例示される。また、これらのシリコン系
材料は、塗布、CVD(Chemical Vapor Deposition)など
方法で膜形成されることが多いが、これ以外の方法で形
成した膜であってもよい。
【0039】酸化シリコン膜及び/又はシリコンを含有
する低誘電率膜などのシリコン系材料とは、膜や層構造
を持った材料に限らず、シリコンを含む化学的組成を持
つ材料で全体がその材料そのもので構成される物質でも
よい。例えば、ガラスや石英板などの固体物質がこれに
相当する。
【0040】酸化シリコン膜及び/又はシリコンを含有
する低誘電率膜などのシリコン系材料を、レジストやポ
リシリコンなどのマスク、シリコン、窒化シリコン、炭
化シリコン、シリサイド、金属窒化物などの下地に対し
て選択的にエッチングすることが可能である。さらに、
半導体プロセスにおいては、被エッチング材料であるシ
リコン系材料層と下地である窒化シリコン膜などのエッ
チングストッパー膜とを連続して一度にエッチングする
必要が起こる場合もあり得る。この様な場合は、レジス
トなどのマスクのエッチング速度がシリコンなどの下地
のエッチング速度よりも小さく、エッチング選択比が大
きい条件を選ぶことにより、シリコン系材料層とエッチ
ングストッパー膜などの下地を連続したプロセスの中で
エッチングすることが可能となる。
【0041】He、Ne、Ar、Xe、Krなどの希ガスは、プラ
ズマの電子温度、電子密度を変化させることができ、ま
た、希釈効果もある。この様な希ガスを併用することに
より、フルオロカーボンラジカルやフルオロカーボンイ
オンのバランスをコントロールして、エッチングの適正
な条件を決めることができる。
【0042】N2、H2、NH3を併用することで、低誘電率
膜のエッチングにおいて良好なエッチング形状が得られ
る。例えば、c-C4F8とArの混合ガスにさらにN2を併用し
て有機SOG膜の低誘電率膜をエッチングした場合、c-C4F
8とArとO2を併用した場合したよりもエッチング形状が
よいことがS.Uno et al,Proc.Symp.Dry.Process(To
kyo,1999)pp215-220に報告されている。
【0043】炭化水素とHFCは、プラズマ中で炭素濃度
の高いポリマー膜をレジストなどのマスクやシリコンな
どの下地に堆積させ選択比を向上させる。また、HFCは
それ自体からもエッチング種となるイオンを発生させる
効果もある。
【0044】本発明のドライエッチングガスは、希ガ
ス、不活性ガス、NH3、H2、炭化水素、O2、酸素化合
物、ハロゲン化合物、HFC(Hydrofluorocarbon)及び二重
結合を持つPFC(perfluorocarbon)ガスからなる群から選
ばれる少なくとも1種(以下、「併用ガス成分」という
ことがある)を混合して使用することができる。
【0045】H2 、NH3、炭化水素、HFCなどに含まれるH
はFラジカルと結合しHFとなりプラズマ系内からFラジカ
ルを取り除く効果があり、Fラジカルとレジストなどの
マスクやシリコンなどの下地との反応を減らしエッチン
グ選択比を向上させる。
【0046】酸素化合物は、CO、CO2や(CF3)2C=Oなどの
ケトンやアセトン、CF3CFOCF2などのエポキサイド、CF3
OCF3などのエーテルのような酸素を含んだ化合物を意味
する。これらの酸素化合物やO2を併用することで、過剰
なフルオロカーボンポリマー膜を取り除くことができ、
微細パターンでエッチング速度が低下すること(マイク
ロローディング効果という)を抑制し、エッチングがス
トップするのを防ぐ効果がある。
【0047】ハロゲン化合物とはCF3I、CF3CF2I、(CF3)
2CFI、CF3CF2CF2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3
CF2CF2Br、CF3Cl、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2C
l、CF 2=CFI、CF2=CFCl、CF2=CFBr、CF2=CI2、CF2=CC
l2、CF2=CBr2などの化合物のようにフルオロカーボン分
子中のフッ素が、臭素、ヨウ素などと置換された化合物
とする。フルオロカーボン分子中のフッ素を、塩素、臭
素、ヨウ素に置換することにより、結合が弱くなるので
高い電子密度と低い電子温度のプラズマを発生しやすく
なる。
【0048】プラズマは電気的に中性であるので,電子
密度が高いほどイオン密度も高くなりエッチング速度が
増大する。電子温度が低く抑えられると過剰な解離を抑
制でき、エッチングに必要なCF2ラジカルやCF3 +イオン
などを得やすくなる。この様な効果が最も大きいのがヨ
ウ素化合物である。特開平11-340211号公報、Jpn.J.App
l.Rhys. Vol.39 (2000) pp1583-1596などに示されてい
るように、該ヨウ素化合物は低い電子温度のままで電子
密度を上げやすく、これらの中にはエッチング効率の高
いCF3 +を選択的に発生するものがある。
【0049】分子中に二重結合を持つHFC、PFCは地球温
暖化効果が小さく、プラズマ中で二重結合が解離しやす
いため、エッチングに必要なラジカルやイオンを制御し
やすい。
【0050】上記に例示したような併用ガスと混合して
使用する場合は、具体的には、He、Ne、Ar、Xe、Krなど
の希ガス;N2などの不活性ガス;O2;CO、CO2などの酸
素化合物ガス;CF3I、CF3CF2I、(CF3)2CFI、CF3CF2CF
2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3C
l、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2
=CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などか
らなるハロゲン化合物;及びCH2F2、CHF3、CHF3、CF3CH
F2、CHF2CHF2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、
CH3CHF2、CH3CH2F、CF3CF2CF2H、CF3CHFCF3、CHF2CF2CHF
2、CF3CF2CH2F、CF 2CHFCHF2、CF3CH2CF3、CHF2CF2CH2F、
CF3CF2CH3、CF3CH2CHF2、CH3CF2CHF2、CH3CHFCH3、CF2=C
HF、CHF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=C
H2、CH3CF=CH 2などからなるHFC(Hydrofluorocarbon)ガ
ス及びCF2=CF2、c-C5F8などからなる二重結合を持つPFC
(perfluorocarbon)ガスからなる群から選ばれる少なく
とも1種以上の併用ガス成分をエッチングガス成分と混
合して使用しても良い。
【0051】本発明のドライエッチングガスとして、二
重結合を二つ有するエッチングガス成分と併用ガス成分
からなる混合ガスを使用する場合、通常、エッチングガ
ス成分の少なくとも1種を流量比10%程度以上、併用ガ
ス成分の少なくとも1種を流量比90%程度以下使用す
る。好ましくはエッチングガス成分の少なくとも1種を
流量比20〜99 %程度、併用ガス成分の少なくとも1種
のガスを流量比1〜80%程度使用する。好ましい併用ガ
ス成分は、Ne、Ar、Xe、Kr、N2、O2、CO、CO2及びCH2F2
からなる群から選ばれる少なくとも1種である。より好
ましい併用ガスは、Ar、N2、O2、COである。好ましいエ
ッチング条件を以下に示す: *ICP放電電力200−3000W、好ましくは400〜
2000W; *バイアス電力50−2000W、好ましくは100〜
1000W; *圧力100mTorr(13.3Pa)以下、好ましくは圧力50mTor
r(6.65Pa)以下、より好ましくは2〜10mTorr(0.266
〜1.33Pa) *電子密度109−1013cm-3好ましくは1010−1012cm
-3 *電子温度2−9eV好ましくは3−8eV *ウェハー温度−40〜100℃、好ましくは−30〜
50℃。 *チャンバー壁温度−30〜300℃、好ましくは、2
0〜200℃ レジストパターンよりも微細なコンタクトホールの形成
は、ウェハー温度を制御することにより、エッチングガ
ス由来のポリマーをレジスト開口部位置に選択的に堆積
させてレジスト開口部を狭めさせながら、CF2=CFCF=CF2
及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも
1のエッチングガスを必要に応じてHe、Ne、Ar、Xe、K
r、O2、CO及びCO2からなる群から選ばれる少なくとも1
種以上のガスと混合してエッチングすることにより達成
できる。
【0052】レジスト開口部へのポリマーの堆積は、例
えばウェハーの温度を−11〜0℃程度に冷却してエッ
チングを行うことにより実現できるが、この方法に限定
されず、いかなる方法によりポリマーを堆積させてもよ
い。
【0053】本発明により形成可能なコンタクトホール
の直径は、0.1μm前後以上である。
【0054】
【発明の効果】C4F6(CF2=CFCF=CF2), C5F8(CF3CF=CFCF=
CF2)の単独であるいはArやO2を添加した混合ガスでエッ
チングした場合、対レジスト選択比(SiO2/Resist)、対
シリコン選択比(SiO2/Si)が既存ドライエッチングガ
スよりも良く、サイドエッチングが小さい。これらのガ
ス系ではウエハー温度をコントロールすることによりレ
ジストパターンよりも微細なコンタクトホールを形成で
きる。
【0055】該エッチングガスは単独あるいは混合して
使用する事により、被エッチング物質の材質に応じて、
エッチング効率が低いCF+、エッチング効率が高いCF3 +
等のイオンやフルオロカーボン膜の密度を調整してエッ
チングすることができる。一般的には、密度が高いフル
オロカーボンポリマー膜を形成するラジカルとエッチン
グ効率の高いCF3 +イオンとの組み合わせ、密度が低いフ
ルオロカーボンポリマー膜を形成するラジカルとエッチ
ング効率の低いCF+イオンとの組み合わせができるよう
なプラズマでエッチングすると良好な結果が得られる。
【0056】このガス組成の制御で最も効果的なのは、
CF3CFフラグメントを有する分子とそうでない分子組み
合わせである。これらのガスのガス流量比や圧力比を変
えることで実現できる。
【0057】MSQ(Methylsilsesquioxane)などのシロキ
サン結合を有する有機高分子材料である有機SOG膜、HSQ
(Hydogensilsesquioxane)などの無機絶縁膜およびこれ
らの多孔質膜などのシリコンを含有する低誘電率膜など
のエッチングにおいては、該ドライエッチングガスは特
に有効である。これらの低誘電率膜では、構造中にメチ
ルCH3や水素Hと結合した部分を有しているため、酸化シ
リコン膜のように十分な反応活性層(SiCxFyOzのような
層)を形成しにくい。このため密度の高いフルオロカー
ボンポリマー膜を形成するガスプラズマを用いると反応
活性層でのエッチング反応よりもフルオロカーボンポリ
マー膜形成が優勢になり、エッチング反応が阻害され
る。該ドライエッチングガスでは形成されるフルオロカ
ーボンポリマー膜の密度が低いため、十分な量のイオン
が反応活性層深くまで進入してエッチング反応が進行
し、反応生成物もこれらの層から容易に抜け出るため、
低誘電率膜において、たとえ十分な反応活性層が形成さ
れなくてもエッチングが阻害されることはない。
【0058】また、フルオロカーボンポリマー膜の密度
が低いため、十分な量のイオンが反応活性層深くまで進
入して反応が進行するため、コンタクトホール、ビアホ
ールおよび配線などのサイズが小さなり高アスペクト比
パターンになってもエッチング速度が低下する現象(マ
イクロローディング効果という)が生じにくい。
【0059】
【実施例】以下、本発明を実施例を用いてより詳細に説
明する。
【0060】実施例1 ICP(Inductive Coupled Plasma)放電電力600W,バイアス
電力200W,圧力3mTorr(0.399Pa)、電子密度8×1010−2
×1011cm-3、電子温度5-7eVのエッチング条件で、環状
c-C4F8, C3F6(構造CF3CF=CF2)とC4F6(構造CF2=CFCF=C
F2), C5F8(構造CF3CF=CFCF=CF2)で、Si基板上に約1
μm厚さのSiO2膜を有し、さらにその上にホール直径
0.21μmのレジストパターンを有する半導体基板を
エッチングした時のエッチング速度と選択比を以下の表
2に示した。
【0061】C4F6(構造CF2=CFCF=CF2)、C5F8(構造CF3CF
=CFCF=CF2)は、c-C4F8, C3F6(構造CF3CF=CF2)よりも対
電子ビーム描画用レジスト選択比、対シリコン選択比が
いずれも高い。
【0062】
【表2】
【0063】実施例2 ICP(Inductive Coupled Plasma)放電電力600W,バイアス
電力200W,圧力3mTorr(0.399Pa)のエッチング条件で、c-
C4F8, C3F6(構造CF3CF=CF2)と直鎖C4F6(構造CF 2=CFCF=C
F2), 直鎖C5F8(構造CF3CF=CFCF=CF2)の単独のガスプラ
ズマでホール直径0.21μmのレジストパターンを有し、
深さ約1μmのSiO2膜を有する半導体基板にコンタクト
ホールを形成するためにエッチングすると、c-C4F8, C3
F6(構造CF3CF=CF2)では、ホール直径0.21μmのレジスト
パターン開口部が広がって0.43μm以上,アスペクト比
2.4以下になるのに対して、直鎖C4F6(構造CF2=CFCF=C
F2)では0.21μm, アスペクト比6.3、直鎖C5F8(構造CF3
CF=CFCF=CF2)では、0.22μm,アスペクト比4.6でコンタ
クトホールの側壁がエッチングされないパターンをAr等
を添加せずに形成できる。結果を表3に示す。
【0064】
【表3】
【0065】実施例3 ホール直径0.21μmのレジストパターンにおいて、直鎖C
4F6(構造CF2=CFCF=CF2)ガスプラズマ(ICP放電電力600W,
バイアス電力150W,圧力4mTorr(0.532Pa))で、ウェハー
を-11℃前後に冷却することにより、レジスト開口部に
選択的にフルオロカーボン膜を堆積させ、ホール直径0.2
1μmのレジストパターンを小さくし、直径0.12μm,深さ
0.95μm,アスペクト比7.9以上の微細コンタクトホール
を形成することができる。
【0066】直鎖C5F8(構造CF3CF=CFCF=CF2)に流量比50
%のArを添加した混合ガスプラズマ(ICP放電電力600W,バ
イアス電力200W,圧力7mTorr(0.931Pa))においても、ホ
ール直径0.17μmのレジストパターンから、直径0.13μ
m,深さ1.06μm,アスペクト比8.2以上の微細コンタクト
ホールを形成することができ、Ar添加量が少ない条件で
も微細コンタクトホール形成が可能である。
【0067】同様に直鎖C4F6(構造CF2=CFCF=CF2)に流量
比5%のO2を添加した混合ガスプラズマ(ICP放電電力400
W,バイアス電力200W,圧力5mTorr(0.665Pa))で、直径0.
10μm,深さ0.99μm,アスペクト比9.9以上の微細コンタ
クトホールを形成することができ、対電子ビーム描画用
レジスト選択比2.3、対シリコン選択比6.4得られ、O2
添加しても選択比が確保でき、微細コンタクトホールを
形成できる。結果を表4に示す。
【0068】
【表4】
───────────────────────────────────────────────────── フロントページの続き (72)発明者 板野 充司 大阪府摂津市西一津屋1番1号 ダイキン 工業株式会社淀川製作所内 (72)発明者 青山 博一 大阪府摂津市西一津屋1番1号 ダイキン 工業株式会社淀川製作所内 Fターム(参考) 4M104 DD08 DD15 DD16 DD17 DD20 EE05 EE14 EE17 HH20 5F004 AA02 AA06 AA16 BA20 CA02 DA00 DA22 DA23 DA24 DA25 DA26 DB03 DB07 EB01 EB03 5F033 QQ09 QQ11 QQ12 QQ15 QQ21 QQ24 QQ25 QQ27 QQ37 RR01 RR04 RR06 RR09 RR11 RR25 SS11 SS21 XX04

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2
    らなるドライエッチングガス。
  2. 【請求項2】CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2
    He、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選
    ばれる少なくとも1種のガスと混合してなるドライエッ
    チングガス。
  3. 【請求項3】CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる
    群から選ばれる少なくとも1種のガスプラズマで、酸化
    シリコン膜及び/又は窒化シリコン膜をレジスト、シリ
    コンに対して選択的にエッチングする方法。
  4. 【請求項4】CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる
    群から選ばれる少なくとも1種とHe、Ne、Ar、Xe、Kr、
    O2、CO及びCO2からなる群から選ばれる少なくとも1種
    のガスの混合ガスプラズマで、酸化シリコン膜及び/又
    は窒化シリコン膜をレジスト、シリコンに対して選択的
    にエッチングする方法。
  5. 【請求項5】ウェハー温度を制御することにより、エッ
    チングガス由来のポリマーをレジスト開口部位置に選択
    的に堆積させながら、CF2=CFCF=CF2及びCF3CF=CFCF=CF2
    からなる群から選ばれる少なくとも1種のエッチングガ
    スプラズマでエッチングすることを特徴とするレジスト
    パターンよりも微細なコンタクトホールを形成する方
    法。
  6. 【請求項6】二重結合を二つ有する一般式(1): CaFbHc(1) (a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表
    される化合物を少なくともひとつ含むドライエッチング
    ガス。
  7. 【請求項7】CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFC
    F2CF2CF=CF2などからなるパーフロロメチル基-CF3を有
    しない二重結合を二つ持つ化合物、CF3CF=CFCF=CF2、CF
    3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3、CF3CF=C(CF3)CF=
    CF2などからなる二重結合に直接結合したCF3CF部分と二
    重結合を二つ持つ化合物、 CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2, CF2=CFCF(C
    F3)CF=CF2、 CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2などからなる
    主鎖から分岐したパーフロロメチル基-CF3を有する二重
    結合を二つ持つ化合物及びCF3CF2CF=CFCF=CF2, CF2=C(C
    F2CF3)CF=CF2などからなるパーフロロメチル基-CF3より
    も大きい基を有する二重結合を二つ持つ化合物からなる
    群から選ばれる少なくとも1種のガスからなるドライエ
    ッチングガス。
  8. 【請求項8】CF2=CFCF=CF2とCF2=CFCF2CF=CF2、CF2=CFC
    F=CF2とCF3CF=CFCF=CF2、CF2=CFCF=CF2とCF2=C(CF3)CF=
    CF2、CF2=CFCF=CF2とCF2=C(CF3)C(CF3)=CF2、CF2=CFCF2
    CF=CF2とCF3CF=CFCF=CF2、CF2=CFCF2CF=CF2とCF2=C(C
    F3)CF=CF2、CF2=CFCF2CF=CF2とCF2=C(CF3)C(CF3)=CF2
    CF3CF=CFCF=CF2とCF2=C(CF3)CF=CF2、CF3CF=CFCF=CF2
    CF2=C(CF3)C(CF3)=CF2、 CF2=C(CF3)CF=CF2とCF2=C(CF3)C(CF3)=CF2又は CF3CF=CFCF=CFCF3とCF2=C(CF2CF3)CF=CF2 のいずれかの組み合わせからなるドライエッチングガ
    ス。
  9. 【請求項9】さらに希ガス、不活性ガス、NH3、H2、炭
    化水素、O2、酸素化合物、ハロゲン化合物、HFC(Hydrof
    luorocarbon)及び二重結合を持つPFC(perfluorocarbon)
    ガスからなる群から選ばれる少なくとも1種を含む請求
    項1、2、6〜8のいずれかに記載のドライエッチング
    ガス。
  10. 【請求項10】さらにHe、Ne、Ar、Xe、Krからなる群か
    ら選ばれる希ガス、N2からなる不活性ガス、NH3、H2、C
    H4、C2H6、C3H8、C2H4、C3H6などからなる炭化水素、
    O2、CO、CO2、(CF3)2C=O、CF3CFOCF2、CF3OCF3などから
    なる酸素化合物、CF 3I、CF3CF2I、(CF3)2CFI、CF3CF2CF
    2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3C
    l、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2
    =CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などか
    らなるハロゲン化合物、CH2F2、CHF3、CHF3、CF3CHF2
    CHF2CHF2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、CF2
    =CHF、CHF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=C
    H2、CH3CF=CH2などからなるHFC(Hydrofluorocarbon)及
    びCF2=CF2、c-C5F8などからなる二重結合を持つPFC(per
    fluorocarbon)ガスからなる群から選ばれる少なくとも
    1種のガスを含む請求項1、2及び6〜9のいずれかに
    記載のドライエッチングガス。
  11. 【請求項11】請求項1、2及び6〜10のいずれかに
    記載のドライエッチングガスのガスプラズマで、酸化シ
    リコン膜及び/又はシリコンを含有する低誘電率膜など
    のシリコン系材料をエッチングすることを特徴とするド
    ライエッチング方法。
  12. 【請求項12】請求項1、2及び6〜10のいずれかに
    記載のドライエッチングガスのガスプラズマで、CF+イオ
    ンを主とするイオン群と密度の低いフルオロカーボンポ
    リマー膜を形成する高分子ラジカルとのバランスをとっ
    て酸化シリコン膜及び/又はシリコンを含有する低誘電
    率膜などのシリコン系材料をエッチングすることを特徴
    とするドライエッチング方法。
JP2000339908A 2000-04-28 2000-11-08 ドライエッチング方法 Expired - Fee Related JP4839506B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000339908A JP4839506B2 (ja) 2000-04-28 2000-11-08 ドライエッチング方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000-130477 2000-04-28
JP2000130477 2000-04-28
JP2000130477 2000-04-28
JP2000339908A JP4839506B2 (ja) 2000-04-28 2000-11-08 ドライエッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010256142A Division JP5569353B2 (ja) 2000-04-28 2010-11-16 ドライエッチングガスおよびドライエッチング方法

Publications (2)

Publication Number Publication Date
JP2002016050A true JP2002016050A (ja) 2002-01-18
JP4839506B2 JP4839506B2 (ja) 2011-12-21

Family

ID=26591197

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000339908A Expired - Fee Related JP4839506B2 (ja) 2000-04-28 2000-11-08 ドライエッチング方法

Country Status (1)

Country Link
JP (1) JP4839506B2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002050885A1 (fr) * 2000-12-21 2002-06-27 Tokyo Electron Limited Procede de gravage pour film isolant
JP2005051183A (ja) * 2003-07-31 2005-02-24 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
JP2006108484A (ja) * 2004-10-07 2006-04-20 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2008252139A (ja) * 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
US8097534B2 (en) 2007-08-10 2012-01-17 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
US8193642B2 (en) 2005-06-20 2012-06-05 Tohoku University Interlayer insulating film, interconnection structure, and methods of manufacturing the same
US8536061B2 (en) 2010-08-05 2013-09-17 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
KR20140016912A (ko) 2011-03-29 2014-02-10 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
KR101549264B1 (ko) * 2008-07-11 2015-09-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법
US9364437B2 (en) 2004-07-07 2016-06-14 Sciotec Diagnostic Technologies Gmbh Diaminooxidase-containing pharmaceutical compositions
JP2016149451A (ja) * 2015-02-12 2016-08-18 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US10431472B2 (en) 2016-10-13 2019-10-01 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
JP2020027832A (ja) * 2018-08-09 2020-02-20 キオクシア株式会社 半導体装置の製造方法およびエッチングガス

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002530863A (ja) * 1998-11-16 2002-09-17 アプライド マテリアルズ インコーポレイテッド ヘキサフルオロブタジエン又は関連ヒドロフルオロカーボンを使用する酸化物のエッチング方法及び広いプロセスウィンドーの表示方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002530863A (ja) * 1998-11-16 2002-09-17 アプライド マテリアルズ インコーポレイテッド ヘキサフルオロブタジエン又は関連ヒドロフルオロカーボンを使用する酸化物のエッチング方法及び広いプロセスウィンドーの表示方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002050885A1 (fr) * 2000-12-21 2002-06-27 Tokyo Electron Limited Procede de gravage pour film isolant
JP4681215B2 (ja) * 2003-07-31 2011-05-11 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
JP2005051183A (ja) * 2003-07-31 2005-02-24 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US9364437B2 (en) 2004-07-07 2016-06-14 Sciotec Diagnostic Technologies Gmbh Diaminooxidase-containing pharmaceutical compositions
JP2006108484A (ja) * 2004-10-07 2006-04-20 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
US8193642B2 (en) 2005-06-20 2012-06-05 Tohoku University Interlayer insulating film, interconnection structure, and methods of manufacturing the same
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
US8097534B2 (en) 2007-08-10 2012-01-17 Tokyo Electron Limited Method for manufacturing semiconductor device and storage medium
JP2009206444A (ja) * 2008-02-29 2009-09-10 Nippon Zeon Co Ltd プラズマエッチング方法
KR101549264B1 (ko) * 2008-07-11 2015-09-01 도쿄엘렉트론가부시키가이샤 기판 처리 방법
JP2008252139A (ja) * 2008-07-14 2008-10-16 Philtech Inc 層間絶縁膜のドライエッチング方法
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
US8536061B2 (en) 2010-08-05 2013-09-17 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
KR20140016912A (ko) 2011-03-29 2014-02-10 제온 코포레이션 플라즈마 에칭 가스 및 플라즈마 에칭 방법
US9296947B2 (en) 2011-03-29 2016-03-29 Zeon Corporation Plasma etching gas and plasma etching method
JP2016149451A (ja) * 2015-02-12 2016-08-18 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US10431472B2 (en) 2016-10-13 2019-10-01 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
US10629449B2 (en) 2016-10-13 2020-04-21 Kanto Denka Kogyo Co., Ltd. Gas composition for dry etching and dry etching method
JP2020027832A (ja) * 2018-08-09 2020-02-20 キオクシア株式会社 半導体装置の製造方法およびエッチングガス

Also Published As

Publication number Publication date
JP4839506B2 (ja) 2011-12-21

Similar Documents

Publication Publication Date Title
JP5569353B2 (ja) ドライエッチングガスおよびドライエッチング方法
KR102398461B1 (ko) 다중 적층을 에칭하기 위한 화학물질
KR100874813B1 (ko) 드라이 에칭 가스 및 드라이 에칭 방법
JP4839506B2 (ja) ドライエッチング方法
WO2017026197A1 (ja) ドライエッチング方法
US20140302683A1 (en) Dry etching agent
JP2015159308A (ja) ドライエッチングガスおよびドライエッチング方法
US4836887A (en) Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
WO2009122771A1 (ja) ドライエッチングガス及びそれを用いたドライエッチング方法
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
JP2005051236A (ja) フルオロカーボンエッチングプラズマ中における次亜フッ素酸塩、フルオロペルオキシド及び(又は)フルオロトリオキシドの酸化剤としての使用
JP2002220668A (ja) 成膜ガスおよびプラズマ成膜方法
WO2018037799A1 (ja) プラズマエッチング方法
TWI753151B (zh) 乾式蝕刻氣體組合物及乾式蝕刻方法
TWI636121B (zh) 乾式蝕刻方法及乾式蝕刻劑
JPH04346427A (ja) ドライエッチング方法
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
JP4889199B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
JP4071064B2 (ja) エッチング方法
KR102461689B1 (ko) 펜타플루오로프로판올(pentafluoropropanol)을 이용한 플라즈마 식각 방법
JP4500029B2 (ja) 低誘電率層間絶縁膜のドライエッチング方法
Oehrlein et al. Plasma Etching of Low Dielectric Constant Materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071024

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101014

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101019

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110222

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110523

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110804

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110906

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110919

R151 Written notification of patent or utility model registration

Ref document number: 4839506

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141014

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees