JP2001345310A - パターンの形成方法および修正方法、窒化物パターン並びに半導体装置 - Google Patents

パターンの形成方法および修正方法、窒化物パターン並びに半導体装置

Info

Publication number
JP2001345310A
JP2001345310A JP2000300633A JP2000300633A JP2001345310A JP 2001345310 A JP2001345310 A JP 2001345310A JP 2000300633 A JP2000300633 A JP 2000300633A JP 2000300633 A JP2000300633 A JP 2000300633A JP 2001345310 A JP2001345310 A JP 2001345310A
Authority
JP
Japan
Prior art keywords
pattern
film
hydrogen
etching
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000300633A
Other languages
English (en)
Other versions
JP3974319B2 (ja
JP2001345310A5 (ja
Inventor
Zuisen Ezaki
崎 瑞 仙 江
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000300633A priority Critical patent/JP3974319B2/ja
Priority to US09/819,891 priority patent/US6531403B2/en
Publication of JP2001345310A publication Critical patent/JP2001345310A/ja
Priority to US10/342,361 priority patent/US6660546B2/en
Publication of JP2001345310A5 publication Critical patent/JP2001345310A5/ja
Application granted granted Critical
Publication of JP3974319B2 publication Critical patent/JP3974319B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0075Processes for devices with an active region comprising only III-V compounds comprising nitride compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24926Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including ceramic, glass, porcelain or quartz layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)
  • Led Devices (AREA)

Abstract

(57)【要約】 【課題】 金属窒化物層をエッチングする際の反応生成
物の揮発性を高くすることにより、マイクロローディン
グ効果を抑制し、ダミーパターン等を用いなくともCD
制御性を向上させることのできる金属化合物層パターン
の形成方法および微細パターンを有する金属化合物層を
提供することを目的とする。 【解決手段】 窒化された金属を主成分とすると共に所
定の微細パターンを有する金属化合物層を製造する方法
において、基板上に形成された金属化合物層の所定領域
を注入領域としてこの注入領域に水素イオンを注入する
イオン注入工程と、少なくとも酸素を含むガスにより前
記注入領域を選択的にエッチングするエッチング工程
と、を備え、前記金属化合物層の前記注入領域が除去さ
れてそれ以外の領域を微細パターンとして残す。水素と
ともにフッ素などのハロゲン元素も注入することによ
り、さらに確実且つ容易にパターンの形成を実行するこ
とができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、パターンの形成方
法および修正方法、窒化物パターン並びに半導体装置に
係り、特に、半導体素子や光学素子などのデバイスを製
造する際に適用できる窒化クロム(CrNx)や窒化ガ
リウム(GaN)などの窒化物膜の微細パターンを形成
する形成方法および修正する方法並びにこれらの形成方
法や修正方法により得られる窒化物パターン並びにこの
窒化物パターンを備えた半導体装置に関する。
【0002】また、本発明は、光、荷電ビーム及びX線
などの各種のビームを用いた露光プロセスに適用して好
適なマスク、或いはこれらのマスクを用いた液晶ディス
プレイなどの各種の分野に適用することができる。
【0003】
【従来の技術】窒化物金属や窒化物半導体などの窒化物
は、電子デバイス、光デバイス等の各種デバイスの高性
能化、高機能化が図れる材料を多く含み、デバイス化に
向けた各種窒化物の微細パターン形成のためのプロセス
技術の重要性は近年、高まってきている。例えば、クロ
ム(Cr)を主成分とする金属材料膜は、現在、光リソ
グラフィにおける露光・転写用フォトマスク(あるいは
レチクル)の遮光体やハーフトーン材料として多く用い
られている。また、窒化クロム(CrNx)膜を用いる
と低応力化が図れることから、X線露光マスクの吸収体
パターン形成用のハードマスク材料としても有用である
ことが示されている(特開平11−65095)。そし
て、LSI素子をはじめとする回路素子の微細化に伴っ
て、露光マスク材料、とりわけクロムを主成分とする露
光マスク材料膜の微細パターン形成技術の開発が望まれ
ている。
【0004】リソグラフィの分野においては、露光波長
よりも微細な寸法のパターンを転写・解像するため、マ
スクパターンの複雑な形状や、高精度なパターン寸法制
御が必要となり、光近接効果補正(以下、「OPC(Op
tical Proximity Correction)」と略記する。)マスク
や位相シフトマスクを用いることが不可欠の状況になっ
てきている。
【0005】現在は、これらクロムを主成分とする材料
膜のパターンの微細化に対応するために、従来のウェッ
トエッチング法に代えて塩素(Cl)系ガスを用い
たドライエッチング法が採用されている。ドライエッチ
ング工程による微細パターン形成においては、パターン
密度の違いに起因していわゆる「マイクロローディング
効果」が発生し、パターン寸法の均一性を劣化させると
いう問題が生じている。このため、フォトマスクや半導
体集積回路の製造においては、その対処法としてダミー
パターンを回路パターン非形成領域、或いは回路、或い
はパターン露光領域内に配置することにより、マイクロ
ローディング効果の抑制が図られている。ここで、「マ
イクロローディング効果」とは、密度の大きなパターン
と密度の小さなパターンとを同時にエッチングする場合
に、金属膜のエッチングのされ方が場所毎に異なるため
に、エッチング反応生成物の発生量に粗密が発生し、揮
発性の低いエッチング反応生成物が多量に対流すること
によってエッチングレートが不均一になる現象をいう。
【0006】また、光リソグラフィの分野では、微細加
工技術だけではなく、パターンの欠陥検査・修正技術の
重要性が高まってきている。パターンが微細化された場
合、特にOPCマスクや各種の位相シフトマスクなどの
欠陥の検査や修正は、ピンホールや突起等の形状欠陥だ
けではなく、微細OPCパターンの欠陥、異物、パター
ン位置ずれやサイズずれのほかにも位相シフトマスクの
透過率、位相差のずれ等の欠陥の検査、修正も含まれる
ため、これらに対応する高精度の検査・修正技術の確立
が望まれている。
【0007】現在、使用されている欠陥修正方式には、
レーザ式と集束イオンビーム式があり、これらのうちで
も、集束イオンビーム式が多く用いられている。集束イ
オンビーム式の修正方法の場合は、ブリッジ等の「黒欠
陥」に対して、必要に応じてエッチングガスを吹き付け
ながら、ガリウムイオン(Ga)ビームを照射して
欠陥部を除去し、ピンホールや欠け等の「白欠陥」に対
しては、炭素水素系ガスを吹き付けながらガリウムイオ
ン(Ga)ビームを照射して炭素系の被膜を形成す
ることにより修正を行なっている。
【0008】窒化物半導体においても、デバイス化に向
けた各種窒化物の微細パターン形成の重要性は、近年、
高まってきている。例えば、GaN、AlN、InGa
N等のIII族系窒化物半導体を用いた発光ダイオード
は、紫外から緑色にいたる光源として実用段階に入りつ
つある。また、ワイドギャップ半導体としての特質を利
用した電子デバイスとしての研究開発も進み、急速なデ
バイスの進展を迎えようとしている。そして、これらの
デバイスの高性能化に向けて、各種の窒化物半導体の微
細パターン形成のためのプロセス技術は盛んに行われて
いる。しかし、これまでのところ、エッチング手段とし
ては、Cl(塩素)系またはF(フッ素)系ガスを
用いたプラズマエッチングが主に用いられ、高密度プラ
ズマによる表面損傷や表面のGa/N等の組成比変化が
問題となっている。
【0009】
【発明が解決しようとする課題】クロム(Cr)系材料
のエッチング反応生成物は、一般に揮発性が低く、ドラ
イエッチングにおいてマイクロローディング効果が生じ
やすいため、フォトマスクあるいはレチクルの面内や他
の回路基板の面内でのCD(Critical Dimension:臨界
寸法)制御性を如何に高めるかが重要な課題である。
【0010】特に、OPCパターンを含むフォトマスク
は、OPCパターン部分ではパターンサイズが他のパタ
ーンに比べ小さく、異方性の高いエッチングが求められ
るため、エッチャントの蒸気圧と基板へのバイアスを大
きくする必要あるが、このとき反応生成物がエッチャン
トの高圧力により被エッチング領域に押し付けられる形
でパターンの側壁に再付着し、エッチャントの被エッチ
ング物質への吸着を妨げる。その結果として、マイクロ
ローディング効果が発生しやすくなり、レチクル面内の
CD制御が極めて難しいマスクである。CD制御特性が
悪いと、レチクルにおける100μm四方の領域におけ
る中心部分と周辺部分とが不均一に形成されてしまう。
【0011】従来、提案されているダミーパターンを配
置する手法では、そのマスク作製はOPCパターンおよ
びダミーパターンの配置によるパターン密度の最適化の
ためにシミュレーション計算を要し、製造工程を簡便に
且つ低コストで行なうことは難しい。
【0012】また、塩素系ガスを用いたドライエッチン
グ法は、塩素ガスが人体に有毒であることや、腐食性が
強く、エッチング処理終了後、チャンバー内や配管を窒
素パージする必要があるため、取り扱いに注意が必要と
されるという問題もある。
【0013】また、上記クロム系膜を用いたフォトマス
クやレチクルあるいは各種回路基板の欠陥を修正する場
合に、集束イオンビームあるいはレーザビームによる黒
欠陥修正では、欠陥以外の基板の照射部分が余計に削れ
てしまったり、注入したGa イオンによって透過率
が低下を引き起こすといった透明基板の損傷が大きな問
題となっている。
【0014】Cr系材料のエッチング同様に、各種窒化
物金属および窒化物半導体や窒素を含む材料のパターン
形成においても、エッチングプロセスにおけるマイクロ
ローディング効果やパターンの欠陥は発生し、それらの
抑制および修正は、デバイス作製の上で大きな課題であ
る。
【0015】本発明は、かかる課題の認識に基づいてな
されたものであり、その目的は、金属窒化膜をエッチン
グする際の反応生成物の揮発性を高くすることにより、
マイクロローディング効果を抑制し、ダミーパターン等
を用いなくともCD制御性を向上させることのできるパ
ターンの形成方法および修正方法、窒化物パターン並び
に半導体装置を提供することにある。
【0016】
【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の基本構成に係るパターンの形成方法
は、窒素を含む金属化合物層を用意する工程と、前記金
属化合物層の所定領域に水素を導入する工程と、前記金
属化合物層の前記所定領域を励起された酸素を含む雰囲
気に晒すことにより、前記水素が導入された所定領域を
選択的に除去するエッチング工程と、を備えたことを特
徴とする。
【0017】または、さらに微細なパターンを形成する
方法として、窒素を含む金属化合物層を用意する工程
と、前記金属化合物層の所定領域に水素を導入する工程
と、前記金属化合物層の前記所定領域を励起された酸素
を含む雰囲気に晒すことにより前記所定領域を選択的に
除去するエッチング工程と、前記所定領域が選択的に除
去されることにより残された前記金属化合物層の側面か
ら前記酸素を含む雰囲気により等方的にサイドエッチン
グを進行させるサイドエッチング工程と、を備えたこと
を特徴とする。
【0018】ここで、前記水素を導入する工程におい
て、前記金属化合物層の前記所定領域にハロゲン元素も
導入しても良い。
【0019】また、前記ハロゲン元素は、フッ素とする
ことかできる。
【0020】ここで、前記いずれの方法においても、前
記水素を導入する工程に先立ち、前記金属化合物層の前
記所定領域以外にマスクを形成することができる。
【0021】また、前記水素を導入する工程は、イオン
注入またはレーザドーピングにより行なわれ、前記エッ
チング工程は、励起された酸素を含有したプラズマの照
射により行なわれるものとしてもよい。
【0022】また、前記金属化合物層は、クロム(C
r)、チタン(Ti)、アルミニウム(Al)、モリブ
デン(Mo)、タングステン(W)、ガリウム(G
a)、インジウム(In)及びボロン(B)からなる群
から選択された少なくとも一つの金属を含むものとする
と良い。
【0023】一方、本発明のパターンの修正方法は、金
属パターンを修正する方法であって、所定のパターンよ
りも前記金属がはみ出した部分に、窒素と水素とを選択
的に導入する工程と、前記金属パターンを励起された酸
素を含む雰囲気に晒すことにより前記はみ出した部分を
除去するエッチング工程と、を備えたことを特徴とす
る。
【0024】または、本発明のパターンの修正方法は、
窒素を含む金属化合物のパターンを修正する方法であっ
て、所定のパターンよりも前記金属化合物がはみ出した
部分に、水素を選択的に導入する工程と、前記金属化合
物のパターンを励起された酸素を含む雰囲気に晒すこと
により前記はみ出した部分を選択的に除去するエッチン
グ工程と、を備えたことを特徴とする。
【0025】ここで、前記選択的に導入する工程におい
て、前記はみ出した部分にハロゲン元素も導入しても良
い。
【0026】また、前記ハロゲン元素は、フッ素とする
ことかできる。
【0027】ここで、前記水素を導入する前記工程は、
イオン注入またはレーザドーピングにより行なわれ、前
記エッチング工程は、励起された酸素を含有したプラズ
マの照射により行なわれるものとしても良い。
【0028】また、前記金属化合物層は、クロム(C
r)、チタン(Ti)、アルミニウム(Al)、モリブ
デン(Mo)、タングステン(W)、ガリウム(G
a)、インジウム(In)及びボロン(B)からなる群
から選択された少なくとも一つの金属を含むものとする
ことが望ましい。
【0029】一方、本発明の窒化物パターンは、窒素を
含む金属化合物層の所定領域に水素を導入した後に前記
所定領域を励起された酸素を含む雰囲気に晒すことによ
り、前記金属化合物層から前記所定領域を選択的に除去
することにより形成されたことを特徴とする。
【0030】または、本発明の窒化物パターンは、窒化
物層の所定領域に水素とハロゲン元素とを導入した後に
前記所定領域を励起された酸素を含む雰囲気に晒すこと
により、前記窒化物層から前記所定領域を選択的に除去
することにより形成されたことを特徴とする。
【0031】ここで、前記ハロゲン元素は、フッ素とす
ることができる。
【0032】ここで、前記金属化合物層は、クロム(C
r)、チタン(Ti)、アルミニウム(Al)、モリブ
デン(Mo)、タングステン(W)、ガリウム(G
a)、ンジウム(In)及びボロン(B)からなる群か
ら選択された少なくとも一つの金属を含むものであるこ
とが望ましい。
【0033】一方、本発明の半導体装置は、前述したい
ずれかの窒化物パターンを備えたことを特徴とする。
【0034】
【発明の実施の形態】以下、本発明に係るパターンの形
成方法および金属加工物パターンの実施形態について、
図面を参照しつつ詳細に説明する。
【0035】(第1の実施の形態)図1は、本発明の第
1の実施の形態の基本概念を表す工程断面図である。
【0036】本実施形態においては、まず、図1(a)
に表したように、基板2の上に窒素を含む金属化合物層
1を形成する。
【0037】次に、図1(b)に表したように、金属化
合物層1の上に所定のパターンのレジストマスク3を形
成する。金属化合物層1の材料としては、例えば窒化ク
ロムを用いることができる。
【0038】次に、図1(c)に表したように、水素イ
オン4を注入する。すると、この工程において、水素イ
オン4はマスク3により遮蔽され、金属化合物層1のう
ちでマスク3の開口部に露出している部分のみに選択的
に注入されて水素注入領域1Aが形成される。
【0039】そして、図1(d)に表したように、酸素
プラズマなどの励起された酸素を含有するガス5を照射
する。すると、レジストマスク3が除去されるととも
に、金属化合物層11のうちで水素イオン4が注入され
た部分がエッチングされ消失する。ここで金属化合物層
1がエッチングされる理由は、水素を含有した金属化合
物層1が酸素と反応すると、平衡蒸気圧が極めて高い揮
発性に富んだ反応生成物が形成されるからであると考え
られる。
【0040】以上説明したように、本実施形態は、水素
を導入した金属化合物層を励起酸素雰囲気に晒すとエッ
チングされるという極めてユニークな現象を利用したも
のである。そして、本発明は、以下の作用効果を奏す
る。
【0041】まず、本実施形態によれば、H(水素)
イオンが注入された金属化合物層において生じる励起酸
素によるエッチングは、反応生成物の揮発性が高いケミ
カルエッチングである。このため、従来の塩素系ガスを
用いたエッチング法に比べて、マイクロローディング効
果が低減され、基板面内でのCD制御性を高めることが
できる。その結果として、高精度な光近接補正(OP
C)マスクやレベンソンマスク等の作製を容易且つ確実
に行うことができる。
【0042】また、本実施形態によれば、従来のクロム
を主成分とする材料膜のドライエッチング方法で用いて
きた塩素系ガスに代わり、水素を含むガスおよび酸素ガ
スのみでエッチングが可能となり、簡便且つ安全に行え
る微細パターン形成方法である。 さらに、本実施形態
によれば、クロム系膜を用いたフォトマスクやレチクル
あるいは各種回路基板の欠陥を修正する場合にも、パタ
ーン欠陥部分以外に損傷を与えず、高精度の修正が行う
ことができる。
【0043】さらに、簡便且つ低コストでCr系の露光
マスクおよび各種微細パターンの製造することも可能と
なる。
【0044】また、本発明者の試作検討によれば、本実
施形態において用いることができる金属窒化物は、クロ
ムの窒化物に限られず、これ以外にも、チタン(T
i)、アルミニウム(Al)、モリブデン(Mo)、タ
ングステン(W)、ガリウム(Ga)、インジウム(I
n)及びボロン(B)についても、窒化物を形成して同
様に本発明を適用できることが分かった。
【0045】以下、本発明の第1の実施の形態につい
て、第1〜第5の実施例を参照しつつ、さらに詳細に説
明する。
【0046】(第1の実施例)まず、本発明の第1の実
施例として、図1を参照しつつ窒化クロム(CrNx)
膜を用いたフォトマスクの作製方法を具体的に説明す
る。
【0047】まず、図1(a)に表したように、基板2
の上に窒化クロム膜1を堆積した。具体的には、洗浄し
た厚さ6.35mmの6インチ石英基板2を反応性スパ
ッタリング装置に導入し、ターゲット材料としてCrを
用いて、窒素/アルゴン混合ガス、圧力5mTorrの条件
の下で遮光膜となる膜厚10nmのCrNx膜1を堆積
し、しかる後に超音波洗浄を行って、マスクブランクス
を得た。
【0048】ここで、反射防止膜となるCrON膜(図
示せず)をCrNx膜1上に成膜する場合には、値同一
チャンバー内にて亜酸化窒素(NO)/アルゴン混合
ガス、圧力5mTorrの条件下で成膜を行い、CrNx膜
1との合計膜厚が100nmになるように形成し、超音
波洗浄を行い、マスクブランクスを得る。
【0049】次に、図1(b)に表したように、レジス
トマスク3を形成する。具体的には、マスクブランクス
の表面に、スピンコータ装置により、市販の電子ビーム
用レジストZEP7000B(日本ゼオン)を回転数1
800rpm、50秒の条件で回転塗布した。そして、
ホットプレートを用いてベーク処理を行い、膜厚500
nmの感光膜を形成し、加速電圧75kVの電子線描画
装置を用いてパターン描画を行った。所望の描画精度を
得るために、描画は4回の重ね書きによりパターンを形
成する多量描画を行い、また照射量補正により近傍効果
補正を行った。描画後、現像処理を行い、レジストマス
ク3を形成した。
【0050】次に、図1(c)に表したように、水素を
導入する。具体的には、プラズマエッチング装置におい
て、アンテナ出力750W、バイアス出力300Wの水
素/窒素混合ガス(ガス圧力:0.5Pa、流量:10
0sccom)の水素プラズマ4を3分間照射した。このと
き、レジストマスク3の開口部下にあるCrNx膜1あ
るいはCrON膜(図示せず)において水素プラズマ4
が照射されるが、水素イオンの質量が小さく、膜表面に
入射した後、膜を構成する元素の格子と弾性散乱するた
めに、カスケード状に結晶深くまで侵入する。
【0051】一方、レジストマスク3は軽元素により構
成されているため、レジストマスク3の下のCrNx1
あるいはCrON膜(図示せず)に対して水素イオンの
侵入が妨げられることが2次イオン質量分析法(SIM
S)により分かった。
【0052】次に、図1(d)に表したように、酸素雰
囲気に晒す。具体的には、アンテナ出力500Wの酸素
プラズマ(ガス圧力:0.7Pa、流量:100scco
m)5により、レジストマスク3が除去されるととも
に、水素/窒素混合ガスによるプラズマ照射されたCr
Nx膜1のエッチングが行われ、パターンが形成され
た。
【0053】(第2の実施例)次に、本発明の第2の実
施例について説明する。
【0054】図2は、本実施例の形成方法を表す工程断
面図である。すなわち、同図は、本実施形態によりシン
グルトレンチ型レベンソンマスクを作成するための製造
工程を表す。
【0055】まず、図2(a)に表したように、基板2
の上に窒化クロム膜1と酸化シリコン膜6を堆積した。
具体的には、洗浄した厚さ6.35mmの6インチ石英
基板2を反応性スパッタリング装置に導入し、ターゲッ
ト材料としてCrを用いて、アルゴンガス、圧力5mTor
rの条件の下で遮光膜となる膜厚100nmのCrNx
膜1を形成し、超音波洗浄を行って、マスクブランクス
を得た。ここで、反射防止膜となるCrON膜(図示せ
ず)をCrNx膜上1に成膜する場合には、同一チャン
バー内にて亜酸化窒素(NO)/アルゴン混合ガス、
圧力5mTorrの条件下で成膜を行い、CrNx膜1との
合計膜厚が100nmになるように形成する。次に、こ
のマスクブランクス表面に、同じ反応性スパッタリング
装置のターゲットおよびガスを変えて膜厚100nmの
SiO膜6を成膜した。
【0056】次に、図2(b)に表したように、第1の
レジストマスク3Aを形成した。具体的には、スピンコ
ータ装置により、市販の電子ビーム用レジストZEP5
20(日本ゼオン)を回転数1500rpm、50秒の
条件で回転塗布し、ホットプレートを用いてベーク処理
を行い、膜厚500nmのレジスト層を形成した。そし
て、加速電圧75kVの電子線描画装置を用いてパター
ン描画を行った。所望の描画精度を得るために、描画は
4回の重ね書きによりパターンを形成する多重描画を行
い、また照射量補正により近接効果補正を行った。描画
後、現像処理を行い、レジストマスク3Aを形成した。
【0057】次に、図2(c)に表したように、水素を
導入した。具体的には、プラズマエッチング装置内にお
いて、アンテナ出力750W、バイアス出力300Wの
水素/窒素混合ガス(ガス圧力:0.5Pa、流量:1
00sccm)のプラズマ4を3分間照射し、レジストマス
ク3Aの開口部下に位置するCrNx膜1に水素イオン
の注入領域1Aを形成した。
【0058】次に、図2(d)に表したように、レジス
トマスク3AとSiO膜6を除去した。具体的には、
スピンコータ装置を用いて、それぞれオルトジクロロベ
ンゼンと弗化アンモニウム液を回転塗布することにより
ウェットエッチングして除去した。
【0059】次に、図2(e)に表したように、第2の
レジストマスク3Bを形成した。具体的には、マスクブ
ランクスの表面を洗浄し、再びスピンコータ装置によ
り、市販の電子ビーム用レジストZEP7000B(日
本ゼオン)を回転数1800rpm、50秒の条件で回
転塗布し、ホットプレートを用いてベーク処理を行い、
膜厚500nmの感光膜3を形成し、加速電圧75kV
の電子線描画装置を用いてパターン描画を行った。所望
の描画精度を得るために、描画は4回の重ね書きにより
パターンを形成する多重描画を行い、また照射量補正に
より近接効果補正を行った。描画後、現像処理を行い、
レジストマスク3Bを形成して水素イオン注入領域1A
の一部をマスクした。
【0060】このとき、図2(b)で実施した前回の描
画と今回の描画のパターン重ね合わせ位置精度は、3σ
で20〜30nmであった。
【0061】次に、図2(f)に表したように六弗化炭
素/酸素混合ガスによるプラズマ5Aに晒した。
【0062】すると、図2(g)に表したように、レジ
ストマスク3Bの開口部下にあるCrNx膜の水素注入
領域1Aがエッチングされて消失し、さらに石英基板2
がエッチングされる。ここで、石英基板2を所望量の深
さ7(露光すべき光の石英による位相シフト量がπ[r
ad]となる膜厚)だけエッチングした。
【0063】次に、図2(h)に表したように、酸素プ
ラズマ5Bに晒した。すなわち、アンテナ出力500W
の酸素プラズマ5B(ガス圧力:0.7Pa、流量:1
00sccm)に晒すと、レジストマスク3Bが除去される
とともに、CrNx膜の水素注入領域1Aがエッチング
され、図2(i)に表したように、シングルトレンチ型
レベンソンマスク10が完成した。
【0064】(第3の実施例)次に、本発明の第3の実
施例として、パターン幅が10nm以下の超微細パター
ンを形成する具体例について説明する。
【0065】図3は、本実施例の微細パターンの製造工
程を表す工程断面図である。
【0066】まず、図3(a)に表したように、基板2
の上に窒化クロム膜1と酸化シリコン膜6を積層した。
具体的には、洗浄した厚さ6.35mmの8インチSi
基板2を反応性スパッタリング装置に導入し、ターゲッ
ト材料としてクロムを用いて、窒素/アルゴンガス、圧
力5mTorrの条件の下で遮光膜となる膜厚100nmの
CrNx膜1を形成した。さらに、ターゲットおよびガ
スを変えて膜厚500nmのSiO膜6を成膜した。
【0067】次に、図3(b)に表したようにレジスト
マスク3を形成した。具体的には、スピンコータ装置に
より、市販の電子ビーム用レジストZEP520(日本
ゼオン)を回転数2000rpm、50秒の条件で回転
塗布し、ホットプレートを用いてベーク処理を行って膜
厚350nmの感光膜を形成し、加速電圧75kVの電
子線描画装置を用いてパターン描画を行った。所望の描
画精度を得るために、描画は4回の重ね書きによりパタ
ーンを形成する多重描画を行い、また照射量補正により
近接効果補正を行った。描画後、現像処理を行い、レジ
ストマスク3を形成した。
【0068】次に、図3(c)に表したように、エッチ
ングと水素化処理を施した。具体的には、まず、プラズ
マエッチング装置において、アンテナ出力750W、バ
イアス出力300WのCHFガス(ガス圧力:0.6
Pa、流量:100sccm)のプラズマ4を3分間照射し
た。この結果、レジストマスク3に覆われていない酸化
シリコン層6はエッチングされ、この下CrNx膜1に
水素注入領域1Aが形成された。
【0069】次に、図3(d)に表したように、酸素処
理を施した。具体的には、アンテナ出力500Wの酸素
プラズマ5(ガス圧力:0.7Pa、流量:100scc
m)を15分間照射することにより、レジストマスク3
が除去され、CrNx膜の水素注入領域1Aがエッチン
グされて消失した。
【0070】さらに、アンテナ出力500Wの酸素プラ
ズマ5(ガス圧力:0.7Pa、流量:100sccm)の
照射を引き続き行うことにより、SiO膜6の下に残
留したCrNx膜1がサイドエッチングされ、幅10n
m以下の微細パターンも形成可能であることが示され
た。
【0071】図4は、本実施例により得られた超微細パ
ターンを走査型電子顕微鏡で観察した拡大像を表す図面
である。これらの図面からも分かるように、CrNx膜
1は、酸素イオンが侵入できる部分では、どんな微細な
溝であろうがサイドエッチングされている。つまり、本
実施形態によれば、金属窒化膜が酸素によりケミカルエ
ッチングされて生成する反応生成物の揮発性が極めて高
いことが分かる。
【0072】ここで、レジストマスク3の下に位置して
いたCrNx膜がサイドエッチングされているのは、図
3(c)の工程において、水素が、レジストマスク3お
よびSiO膜6を透過してCrNx膜に注入したため
であると考えられる。レジストマスク3の開口部におけ
る酸素プラズマによるエッチング速度は7.5nm/分
であったのに対して、レジストマスク3の下のCrNx
膜のエッチング速度は2.9nm/分であった。エッチ
ング速度の差は、水素含有量の差を反映したものである
と考えられる。酸素プラズマ照射時間を長くすれば、S
iO膜6の下でもサイドエッチングを進めることがで
きる。
【0073】レジストマスク3の下に位置するCrNx
膜1が酸素によりエッチングされないようにするために
は、水素の透過量を減らせば良い。すなわち、レジスト
マスク3や酸化シリコン膜6の膜厚を厚くするか、また
は、酸素プラズマのアンテナ出力を抑えてエッチング速
度を低下させれば良い。これらの方法により、エッチン
グを抑制すれば、図3(d)に表したようなサイドエッ
チングを生じない構造を作製できる。
【0074】また、酸素プラズマ照射において、アンテ
ナ出力に加え、バイアス出力を印加することで、酸素イ
オンの直線性が増し、等方的なエッチングから異方性エ
ッチングに変化させることによっても、サイドエッチン
グを抑制できる。
【0075】本実施例におけるCrNx膜の微細パター
ンをX線光電子分光(XPS)、二次イオン質量分析
(SIMS)、X線回析(XRD)及び透過電子顕微鏡
観察により調べた結果、水素を含むガスおよび酸素ガス
などの各種プラズマ処理を施しても、CrNx膜1にお
いて水素以外の構成元素の組成分布や結晶構造の変化は
見られず、また欠陥や転位等の照射損傷は誘起されてい
ないことが分かった。つまり、マスクとして用いる場合
の金属化合物層の光学的特性や電気的特性、機械的特性
に変化が生じていないことが確認された。
【0076】また、本実施例で採用したCrNx膜1に
対する水素イオンの注入効果を調べるために、以下の5
つの試料に対して、アンテナ出力500Wの酸素プラズ
マ処理(ガス圧力:0.7Pa、流量:100sccm)を
施し、CrNx膜およびCr膜のエッチングレートを比
較した結果を表1に示す。
【0077】A)CrNx膜表面にアンテナ出力750
W、バイアス出力300WのCHF ガス(ガス圧力:
0.6Pa、流量:100sccm)プラズマを30秒照射
したCrNx膜 B) CrNx膜表面にアンテナ出力750W、バイア
ス出力300WのSF ガス(ガス圧力:0.6Pa、
流量:100sccm)プラズマを30秒照射したCrNx
膜 C) Cr膜表面にアンテナ出力750W、バイアス出
力300WのCHFガス(ガス圧力:0.6Pa、流
量:100sccm)プラズマを30秒照射したCrNx膜 D) プラズマ処理していないCrNx膜 E) プラズマ処理していないCr膜
【表1】 試料Aと試料Bのエッチング速度を比較すると、水素を
含むガスの効果が分かる。また、試料Aと試料Cのエッ
チング速度を比較すると、CrNx膜とCr膜における
違いが分かり、CrNx膜とCr膜において選択エッチ
ングが行えることが分かる。
【0078】以上説明したように、CrNx膜の水素イ
オン効果を利用したドライエッチング方法により、所望
の高精度のフォトマスクを作製することができることが
分かった。
【0079】本実施形態により作製したマスクには、以
下の利点がある。
【0080】まず、第1に、Crを主成分とするCrN
x膜のエッチングにおいて、Hイオン効果を用いた酸
素プラズマによるエッチング法を用いると、反応生成物
の揮発性が高いケミカルエッチングによってCrNx膜
はエッチングされるため、従来の塩素系ガスを用いたエ
ッチング法に比べ、マイクロローディング効果は低減
し、基板面内でのCD制御性を高めることが期待でき
る。
【0081】光露光用マスクでは、更なる微細化に対応
するため、光近接効果補正用のセリフやジョグ等の更に
微細な遮光体パターンの形成や、位相シフトマスク、特
にレベンソンマスクが必要とされている。遮光体パター
ンのアスペクト比は高く、その製造におけるエッチング
工程において、現在行われているウェットエッチング法
またはドライエッチング法によりパターンを形成する方
法では、そのサイズおよび加工形状、面内CDを高精度
に制御を行うことは困難であるが、本手法のエッチング
方法によりマスクを作製すれば、低コストで高精度な微
細パターンが容易に形成でき、また高性能位相シフトマ
スクの作製が行えることが示された。
【0082】第2に、従来のCrを主成分とする材料膜
のドライエッチング方法で用いてきた塩素系ガスに代わ
り、水素を含むガスおよび酸素ガスでエッチング可能と
なるため、簡便且つ安全に行える微細パターン形成方法
である点も有利である。
【0083】なお、本実施例においては、成膜にスパッ
タ法を用いたが、CVD(chemicalvapor deposition)
法などの手法を用いて行うことも可能である。
【0084】また、水素を含むガスを用いたプラズマ処
理においては、上述したCHF,CF+H,NH
以外にも、水素を含む他のガス系も同様に採用可能で
ある。また、アンテナ出力、バイアス出力を変えること
により、酸素プラズマによるCrNx膜のエッチング速
度およびパターン形状を制御することが可能である。同
様に、酸素プラズマにおけるアンテナ出力を変化させる
ことや、バイアスを印加することによりエッチング後の
形状を変化させることも可能である。
【0085】また、酸素プラズマ処理において、酸素の
他にN,Cl,H等のガスを添加することによ
り、CrNx膜のエッチングレートおよび形状の制御も
可能である。
【0086】また、上記記載においては、CrNxと表
記したが、その組成を表す構成比xは1に限らず、他の
組成比の窒化クロム膜に対しても本実施形態を適用して
同様の効果が得られる。その他、クロム(Cr)と窒素
(N)とを含む化合物として、例えば、CrCN,Cr
CONなどの各種の窒化物に対しても本実施形態を同様
に適用することが可能である。
【0087】また、本実施例においてレベンソンマスク
を作製するために用いた酸化シリコン(SiO)膜6
は、SiO膜に限られず水素イオンを透過させる膜で
あり、剥離が容易な材料であれば更に好適である。
【0088】(第4の実施例)次に、本発明の第4の実
施例として、クロム(Cr)系化合物層を用いたフォト
マスクの欠陥修正方法を説明する。
【0089】まず、クロム(Cr)からなるフォトマス
クの欠陥を修正する方法について図5を参照しつつ説明
する。
【0090】図5(a)は、クロム(Cr)からなるフ
ォトマスクのパターン8Aの一部に、その形成工程にお
いて残留したクロム(Cr)膜からなる黒欠陥9Aが生
じている状態を表す。
【0091】このような黒欠陥9Aに対して、イオン注
入法により60keVの窒素および水素イオンビームを
照射することにより、欠陥部のクロム(Cr)を窒化し
さらに水素を導入する。
【0092】その結果として、図5(b)に表したよう
に、水素イオンの多く注入されたCrNx領域10が形
成される。
【0093】次に、アンテナ出力500Wの酸素プラズ
マ(ガス圧力:0.7Pa、流量:100sccm)を照射
することにより、黒欠陥9Aを構成するCrNx膜部分
のみが選択的にエッチングされ、図5(c)に表したよ
うに黒欠陥9Aが消去修正される。
【0094】以上のようにして、クロム(Cr)からな
るフォトマスクの欠陥を修正することができる。
【0095】次に、CrNxを遮光膜材料とするフォト
マスクの欠陥を修正する方法について図6を参照しつつ
説明する。
【0096】図6(a)は、窒化クロム(CrNx)か
らなるフォトマスクのパターン8Bの一部に、その形成
工程において残留した窒化クロム(CrNx)からなる
黒欠陥9Bが生じている状態を表す。
【0097】このような黒欠陥9Bに対しては、イオン
注入法により60keVの水素イオンビームを集束照射
して、図6(b)に表したように水素注入領域11を形
成する。
【0098】そして、アンテナ出力500Wの酸素プラ
ズマ(ガス圧力:0.7Pa、流量:100sccm)を照
射することにより、黒欠陥9Bを構成するCrNx膜部
分のみが選択的にエッチングされ、黒欠陥9Bが消去修
正される。
【0099】以上説明した方法によれば、クロム(C
r)系膜を用いたフォトマスクやレチクルあるいは各種
回路基板の欠陥の修正に際して、パターン欠陥部分以外
に損傷を与えず、高精度の修正が行うことが出来る。
【0100】パターンの欠陥を修正する本実施例も、水
素イオンを注入したCrNx膜の酸素プラズマに対する
エッチングレートが、大きく変化することを利用したも
のである。また、本実施例の欠陥修正においても、水素
を含むガスおよび酸素ガス等の各種プラズマおよびイオ
ンビーム照射によって、マスクパターンを構成するクロ
ム (Cr)膜やCrNx膜は、水素以外の構成元素の
組成分布、結晶構造の変化は見られず、また欠陥や転位
等の照射損傷は誘起されていないことがX線光電子分光
(XPS)、二次イオン質量分析(SIMS)、X線回
析(XRD)、透過電子顕微鏡観察により確認され、マ
スクとして用いる場合の光学的特性や電気的特性、機械
的特性に変化が生じていないことが分かった。
【0101】図7は、本実施例の欠陥修正方法を実行す
るための欠陥修正装置を表す概念図である。すなわち、
本装置100は、集束イオンビーム照射作業室110、
酸素プラズマ照射作業室120、及び予備室130から
なるものとすることができる。
【0102】集束イオンビーム照射作業室110は、水
素を含むビームを対象物に照射するチャンバであり、必
要に応じて窒素を含むビームなども照射可能とされてい
る。また、対象物のフォトマスクを検査して欠陥を特定
する機能も有する。
【0103】酸素プラズマ照射作業室120は、酸素を
含むプラズマを対象物に照射するチャンバである。
【0104】予備室130は、必要に応じてカセットな
どに装填された対象物としてのフォトマスクの導入/取
り出し及び各作業室へのロード/アンロードを実行する
ための機能を有するチャンバである。
【0105】そして、これらのチャンバは、例えば、ゲ
ートバルブGVにより遮断可能に連結されている。
【0106】図8は、本欠陥修正装置におけるプロセス
フローを例示するフローチャートである。
【0107】まず、ステップS1において、集束イオン
ビーム照射作業室110の調整が実行される。具体的に
は、例えば、プローブ電流、イオンビームの光軸、フォ
ーカスの調整などが実行される。
【0108】次に、ステップS2においては、カセット
などに装填された対象物としてのフォトマスクが予備室
130から集束イオンビーム照射作業室110へローデ
ィングされ、さらにステージ設置やマスク位置調整作業
が実行される。
【0109】ステップS3においては、マスクパターン
回路設計データであるCAD等のデータと実際の回路パ
ターンとが比較検査され、その黒欠陥情報が読み取られ
る。
【0110】ステップS3において得られた黒欠陥情報
に基づき、ステップS4において欠陥部へイオンビーム
が照射される。終了後、ステップS5において対象物は
作業室110から予備室130へアンロードされる。
【0111】次に、ステップS6において、対象物は
は、予備室130から酸素プラズマ照射作業室120へ
のロードされて設置され、ステップS7において酸素プ
ラズマが照射される。
【0112】終了後、ステップS8において、対象物は
作業室120から予備室130へアンロードされる。
【0113】次に、ステップS2に戻って対象物は再び
集束イオンビーム照射作業室110へロードされ、ステ
ップS3において、再度マスクパターン回路設計データ
であるCAD等のデータと実際の回路パターンとが比較
検査され、その黒欠陥情報が読み取られる。
【0114】黒欠陥の修正が完了していれば、ステップ
S9に進み、同作業室110において今度は白欠陥の検
査および修正作業が実行され、白欠陥修正終了後、ステ
ップS10において作業室110から予備室130へア
ンロードされ、ステップS11において、予備室130
から取り出して、一連の修正プロセスが完了する。
【0115】ここで、白欠陥部分の修正については、本
装置100の集束イオンビーム照射作業室110におい
て黒欠陥の場合と同様に欠陥部分にのみ、水素の集束イ
オンビームを有機ガスを吹き付けながら照射することに
よって、カーボン膜を白欠陥部分に堆積することで実行
できる。こうした工程を経て、無欠陥のフォトマスクが
完成し、これが出荷される。
【0116】本実施形態の欠陥修正方法には、以下のよ
うな2つの利点があることが判明した。
【0117】第1の利点としては、本欠陥修正方法で
は、パターン欠陥部分以外に損傷を与えず、高精度の修
正が行うことができることである。従来法のGaイオ
ンの集束イオンビームを照射することによって欠陥部分
をスパッタリングして除去する方法とは大きく異なり、
本実施形態によれば、下地基板に対しても損傷を与えな
いで済む。
【0118】本実施形態のイオンビームによる修正方法
では、その修正精度はビームの散乱および2次電子の広
がりで決まるが、イオンビームでは2次電子のエネルギ
ーは、その精度に大きな影響を与えない。従って、水素
イオンのような軽いイオンでは、修正精度は膜中での散
乱で決まり、60keVの高エネルギーでは水素イオン
は広角散乱をほとんどせず、またパターン下地基板から
の後方散乱も無視できるため、その散乱広がりは10〜
20nm程度である。水素イオンビームを用いた修正方
法は、CrNx膜の水素イオン注入効果および修正精度
を併せ持つことにより、高精度の修正が可能となる。
【0119】第2の利点として、簡便且つ低コストでC
r系の露光マスクおよび各種微細パターンの欠陥を修正
することが可能となる。
【0120】なお、本実施例では、Cr膜の窒化プロセ
スにおいて、イオン注入法により行ったが、アンモニア
等の窒素を含むガス雰囲気中でレーザ光を集光し、レー
ザドーピング法により行うことも可能である。このとき
レーザとしては、欠陥部分に対してより狭い領域に集光
でき、且つ高濃度の注入を行う上で高出力パワーが望ま
れることからKrFやArFあるいはFエキシマレー
ザ使用することが好適である。
【0121】また、本実施例においては、水素イオンビ
ームを照射することによるCrNx膜パターンの欠陥修
正のみを例示したが、水素イオンビームを用いてCrN
x膜の微細パターンを形成することも可能なことは明ら
かである。またCrNxと表記したが、その組成比xは
0.5に限らず、他の組成比を持つ窒化クロム膜に対し
ても同様の本実施形態の効果があることは明らかであ
る。その他、CrとNを含む化合物、CrCN,CrC
ON等においても同様の本実施形態の効果があることは
明らかである。
【0122】(第5の実施例)次に、本発明の第5の実
施例として、第1から第4実施例により製作・修正され
る露光マスクを用いた微小デバイスの形成方法について
説明する。ここでいう「微小デバイス」とは、例えば、
集積回路、ULSI等の半導体チップ、液晶デバイス、
マイクロマシン、薄膜磁気ヘッド等が挙げられる。以
下、その一例として、半導体デバイスの例を示す。
【0123】図9は、半導体デバイスの製造工程を表す
フローチャートである。
【0124】まず、ステップS21において、半導体デ
バイスの回路設計をCAD等を用いて行う。
【0125】次に、ステップS22において、設計した
回路パターンを形成したマスクを製作する。一方、これ
と並行して、ステップS23においては、シリコン等の
材料を用いて、ウェーハを製造する。
【0126】ステップS24においては、上記用意した
露光マスクとウェーハとを用い、リソグラフィ技術によ
りウェーハ上に実際の回路パターンを形成する。ここ
で、リソグラフィ技術は、例えば、前処理、レジスト塗
布、プリベーク、露光、ポストイクスポージャベーク
(PEB)、現像/リンス、ポストベーク、エッチン
グ、イオン注入、レジスト剥離、検査等の工程からな
る。
【0127】次に、ステップS25においては、後工程
として、ステップS24において作製されたウェーハを
用いて半導体チップ化する。具体的はに、例えば、アッ
センブリ工程(ダイシング、ボンディング)、パッケー
ジング工程(チップ工程)等の工程が実行される。
【0128】次に、ステップS26においては、ステッ
プS5で作製された半導体デバイスの動作確認テスト、
耐久性確認テスト等の検査および修正を行う。
【0129】以上説明した工程を経て、半導体デバイス
が完成し、これが出荷される。
【0130】本実施例の生産方法によれば、低コストの
露光マスクを使用することにより、転写露光工程を低コ
スト化でき、廉価な半導体装置あるいは光学素子を供給
することが可能となる。
【0131】(第2の実施の形態)次に、本発明の第2
の実施の形態について説明する。
【0132】図10は、本実施形態の基本概念を表す工
程断面図である。
【0133】本実施形態においても、まず、図10
(a)に表したように、基板2の上に窒素物層1を形成
する。
【0134】次に、図10(b)に表したように、窒化
物層1の上に所定のパターンのレジストマスク3を形成
する。窒化物層1の材料としては、例えば窒化クロムや
窒化ガリウムなどを用いることができる。
【0135】次に、図10(c)に表したように、水素
4とハロゲン元素4’を注入する。すると、この工程に
おいて、水素4とハロゲン元素4’はマスク3により遮
蔽され、金属化合物層1のうちでマスク3の開口部に露
出している部分のみに選択的に注入されて水素・ハロゲ
ン注入領域1Aが形成される。
【0136】水素4とハロゲン元素4’の注入は、後に
実施例として詳述するように、それぞれプラズマを照射
することにより行うことができる。この際に、プラズマ
密度、バイアス電圧、ガス圧力などを調整することによ
り、水素4とハロゲン元素イオンの基板への入射方向を
制御し、得られる窒化物層1のパターン形状を制御する
ことができる。具体的には、垂直形状のパターンを得た
い場合には、プラズマ密度を下げてバイアス電圧を高め
ることで、イオンが基板に対して垂直に入射させ、垂直
形状が得られる。
【0137】なお、この工程において用いるハロゲンと
しては、例えばフッ素を用いることができる。また、水
素4とハロゲン4’は同時に注入しても良いが、必ずし
も同時に注入する必要はなく、別々に注入しても良い。
【0138】次に、図10(d)に表したように、酸素
プラズマなどの励起された酸素を含有するガス5を照射
する。すると、レジストマスク3が除去されるととも
に、窒化物層11のうちで水素4とハロゲン元素4’が
注入された部分1Aがエッチングされて消失する。ここ
で窒化物層1がエッチングされる理由は、水素及びハロ
ゲンを含有した窒化物層1Aが酸素と反応すると、平衡
蒸気圧が極めて高い揮発性に富んだ反応生成物が形成さ
れるからであると考えられる。
【0139】図10(c)に表した工程において、水素
4とハロゲン元素4’を注入する際には、レジストマス
ク3が軽元素から構成されているためにマスク3の下に
位置する窒化物層1への水素4、ハロゲン元素4’の侵
入は妨げられる。その結果として、図10(d)に表し
た工程において、Oによる窒化物層1のエッチングが
抑制される。水素4とハロゲン元素4’(特にフッ素)
は質量が小さいため、レジストマスク3により覆われて
いない部分では、表面入射後、構成する元素の格子と弾
性散乱し、カスケード状に窒化物層1の深くまで侵入で
きる。
【0140】窒化物層1に注入された水素4とハロゲン
元素4’の濃度分布に応じて、図10(d)の酸素プラ
ズマ処理5により窒化物層1はエッチングされる。従っ
て、パターンエッジにおいて、水素4とハロゲン元素
4’の濃度が急峻に変化することにより、垂直なパター
ン形状が得られる。
【0141】また、本発明によるエッチング方法は、水
素及びハロゲン元素の注入効果により生じるものであ
り、図10(c)の工程により生成された注入元素の濃
度分布がパターンのサイズおよび形状に影響を与え、図
10(d)の酸素エッチングにおいても、従来エッチン
グにおいて生じるマイクロローディング効果は発生しな
い。
【0142】以上概略説明した本実施形態の方法によれ
ば、エッチングによる窒化物膜の微細パターンの形成に
際して、従来のエッチング方法で生じるマイクロローデ
ィング効果は抑制され、基板面内でのCD制御性を高め
ることが期待できる。
【0143】またエッチング反応生成物の揮発性が高い
ケミカルエッチングにより窒化物膜がエッチングされる
ので、パターン寸法が50nm以下の微細化にも対応で
きる。
【0144】また、水素およびハロゲン元素を含むガス
のプラズマ照射において、ガス圧力、バイアス出力等を
調整することで、イオンの基板への入射方向を制御し、
得られる窒化物膜のパターン形状を制御することが可能
となる。
【0145】さらに、Cr系膜を用いたフォトマスクや
レチクルあるいは各種窒化膜パターン回路基板の欠陥修
正において、パターン欠陥部分以外に損傷を与えず、高
精度の修正が行うことができる。
【0146】同時に、簡便且つ低コストで露光マスクや
各種微細パターンを製造することが可能となる。
【0147】以下、本発明の第2の実施の形態について
第6〜第8実施例を参照しつつさらに詳細に説明する。
【0148】(第6の実施例)まず、本発明の第6の実
施例として、CrN膜とTiN膜の微細パターン作製方
法について具体的に説明する。
【0149】図11は、本実施例で用いたCrN微細パ
ターンの形成の要部工程を表す概略工程断面図である。
【0150】本実施例においては、プロセスAとプロセ
スBの2通りのプロセスを実施した。
【0151】まず、同図(a)に表したように、プロセ
スAとBのいずれにおいても、洗浄された厚さ625μ
mの8インチSi基板2に、反応性スパッタリング装置
を用いてターゲット材料としてCrを用い、窒素/アル
ゴン混合ガス、圧力5mTorrの条件の下で膜厚10
0nmのCrN膜1を形成した。
【0152】次に、図11(b)に表したように、プロ
セスAとBのいずれにおいても、SiO膜6とレジス
トマスク3を形成した。具体的には、まず、スパッタリ
ング装置においてターゲットとガスを変えて膜厚500
nmのSiO膜6を成膜した。その後、図示しないス
ピンコータ装置により、市販の電子ビーム用レジストZ
EP520(日本ゼオン)を回転数2000rpm、5
0秒の条件で回転塗布し、ホットプレートを用いてベー
ク処理を行い、膜厚350nmの感光膜を形成し、加速
電圧75kVの電子線描画装置を用いてパターン描画を
行った。所望の描画精度を得るために、描画は4回の重
ね書きによりパターンを形成する多重描画を行い、また
照射量補正により近接効果補正を行った。描画後、現像
処理を行い、レジストマスク3を形成した。
【0153】次に、図11(c)に表したように、水素
4とフッ素4’のプラズマを照射した。
【0154】ここで、プロセスAの場合は、アンテナ出
力600W、バイアス出力300WのCHFガス(ガ
ス圧力:0.6Pa、流量:100sccm)のプラズ
マ照射を3分間、誘導結合型プラズマ(ICP: Indu
ctively Coupled Plasma)源を用いて行った。
【0155】これに対して、プロセスBの場合は、CH
プラズマ照射条件として、アンテナ出力750Wに
し、バイアス出力300WでCHFガス(ガス圧力:
0.6Pa、流量:100sccm)のプラズマ照射を
3分間、ICP源を用いて行った。
【0156】すると、図11(d)に表したように、レ
ジストマスク3の開口部にあるSiO膜6は、CHF
ガスの3分間のプラズマ処理によりCrN膜1の表面
までエッチングされ、SiO膜がパターニングされ
る。
【0157】このとき、プロセスAでは、レジストマス
ク3の開口部のみにおいて水素4およびフッ素4’がC
rN膜1中に注入されて注入領域1Aが形成される。こ
こで、水素4およびフッ素4’のイオン(特に、水素イ
オン)は、その質量が小さく、SiO膜6の表面に入
射した後、それを構成する元素の格子と弾性散乱し、カ
スケード状にSiO膜6、CrN膜1の結晶内深くま
で侵入していく。しかし、レジストが軽元素から構成さ
れているため、レジストマスク3の下のSiO 膜6あ
るいはCrN膜1中へのイオンの侵入は妨げられる。こ
のことは、2次イオン質量分析(SIMS)より確認で
きた。
【0158】これに対して、プロセスBでは、プラズマ
密度が高まり、プロセスAの場合に比べてイオンの平均
自由工程の距離が短くなるため、イオンの方向性が基板
に対して垂直から外れる。その結果として、図11
(d)に表したように、レジストマスク3の下に位置す
る部分のCrN膜1にも水素4およびフッ素4’が注入
され、より幅広い注入領域1Aが形成される。
【0159】次に、図11(e)に表したように、アン
テナ出力500Wの酸素プラズマ(ガス圧力:0.7P
a、流量:100sccm)5により、レジストマスク
3の除去とともに、レジストマスク3の開口部分に位置
する注入領域1Aのエッチングを行い、パターンを形成
した。
【0160】この時、プロセスAでは、酸素プラズマ5
の照射時間を60分まで延ばしても、図11(f)に表
したように、CrN膜1のパターンサイズおよび形状に
変化はない。これに対して、プロセスBでは、図11
(f)に表したように、CrN膜1の注入領域1Aに対
応したサイドエッチングが進むことが分かった。これ
は、前述したCHFガスプラズマ照射時のイオン方向
性に起因するものである。
【0161】最後に、フッ化アンモニウム溶液のウェッ
トエッチングにより、SiO膜6を除去することによ
り、CrN膜1の微細パターンが得られた(図示せ
ず)。
【0162】図12は、プロセスA及びBにおけるパタ
ーンを走査型電子顕微鏡で観察した拡大像である。ここ
で、同図(a)は、プロセスAにおいて酸素プラズマを
15分間照射した後、同図(b)は、プロセスBにおい
て酸素プラズマを5分間照射した後、同図(c)は、プ
ロセスAにおいて酸素プラズマを60分間照射した後、
同図(d)は、プロセスBにおいて酸素プラズマを15
分間照射した後の端面斜視拡大像である。
【0163】これらの拡大像から、CrN膜1は、酸素
イオンが入射できる部分では、どんな微細な溝であろう
がエッチングされていることが分かる。つまり、反応生
成物の揮発性が高いケミカルエッチングが生じているこ
とが確認できた。
【0164】また、プロセスAの場合には、酸素プラズ
マを60分間照射してもCrN膜1のサイドエッチング
は少ない(図12(c))のに対して、プロセスBの場
合には、わずか15分間の酸素プラズマ照射によりSi
O26の下において奥深くまでサイドエッチングが生じ
ていることが分かる(図12(d)。これは、前述した
ように、水素4及びフッ素4’の注入領域1Aが幅広く
形成されたからである。
【0165】次に、本実施例において、TiN膜の微細
パターンを作製した具体例について説明する。
【0166】図13は、本実施例で用いたTiN微細パ
ターンの形成の要部工程を表す概略工程断面図である。
【0167】まず、同図(a)に表したように、第6実
施例と同様の積層構造を形成した。具体的には、洗浄し
た厚さ625μmの8インチSi基板2に、反応性スパ
ッタリング装置によりターゲット材料としてTiを用
い、窒素/アルゴン混合ガス、圧力5mTorrの条件
の下で膜厚100nmのTiN膜21を形成した。さら
に、ターゲットおよびガスを変えて膜厚500nmのS
iO膜6を成膜した。
【0168】次に、図13(b)に表したように、レジ
ストマスク3を形成した。具体的には、スピンコータ装
置により、市販の電子ビーム用レジストZEP520
(日本ゼオン)を回転数2000rpm、50秒の条件
で回転塗布し、ホットプレートを用いてベーク処理を行
い、膜厚350nmのレジスト膜を形成し、加速電圧7
5kVの電子線描画装置を用いてパターン描画を行っ
た。所望の描画精度を得るために、描画は4回の重ね書
きによりパターンを形成する多重描画を行い、また照射
量補正により近接効果補正を行った。描画後、現像処理
を行い、レジストマスク3を形成した。
【0169】次に、図13(c)に表したように、水素
4及びフッ素4’の注入を行った。具体的には、ICP
プラズマエッチング装置において、アンテナ出力600
W、バイアス出力300WのCHFガス(ガス圧力:
0.6Pa、流量:100sccm)のプラズマ照射を
3分間行った。これにより、マスク3の開口部のSiO
2膜6がエッチングされ、その下のTiN膜に水素4と
フッ素4’の注入領域21Aが形成された。
【0170】次に、図13(d)に表したように、酸素
プラズマ照射を行った。具体的には、アンテナ出力50
0Wの酸素プラズマ5(ガス圧力:0.7Pa、流量:
100sccm)を15分照射することにより、レジス
トマスク3を除去するとともに、マスク3の開口部分に
位置するTiN膜の注入領域21Aのエッチングが行わ
れ、パターンが形成された。最後に、フッ化アンモニウ
ム溶液のウェットエッチングによりSiO膜6を除去
し、TiN膜21の微細パターンが得られた。
【0171】本発明者は、CrN膜及びTiN膜に対す
る水素及びフッ素の注入効果を調べるために、以下の5
つの試料(A)〜(E)に対して、アンテナ出力500
Wの酸素プラズマ処理(ガス圧力:0.7Pa、流量:
100sccm)を行なったときの、各窒化膜およびC
r膜のエッチングレートを比較した。
【0172】(A) CrN膜表面にアンテナ出力75
0W、バイアス出力300WのCHF ガス(ガス圧
力:0.6Pa、流量:100sccm)プラズマを3
0秒照射したCrN膜。
【0173】(B) CrN膜表面にアンテナ出力75
0W、バイアス出力300WのSFガス(ガス圧力:
0.6Pa、流量:100sccm)プラズマを30秒
照射したCrN膜。
【0174】(C) Cr膜表面にアンテナ出力750
W、バイアス出力300WのCHFガス(ガス圧力:
0.6Pa、流量:100sccm)プラズマを30秒
照射したCr膜。
【0175】(D) 何もプラズマ処理していないCr
N膜 (E) 何もプラズマ処理していないCr膜。
【0176】(F) TiN膜表面にアンテナ出力75
0W、バイアス出力300WのCHF ガス(ガス圧
力:0.6Pa、流量:100sccm)プラズマを3
0秒照射したTiN膜。
【0177】G) 何もプラズマ処理していないTiN
膜。
【0178】表2は、上記試料(A)〜(E)をそれぞ
れ酸素プラズマに晒した時のエッチング速度を表す。
【0179】
【表2】 試料(A)と(B)のエッチング速度を比較すると、水
素の導入の効果が分かり、また試料(A)と(C)のエ
ッチング速度を比較すると、CrN膜とCr膜との違い
が分かり、窒化膜に対する有効性が理解できる。つま
り、本発明の方法によれば、CrN膜とCr膜におい
て、選択エッチングが行なえることが分かる。また、試
料(F)と(G)のエッチング速度を比較すると、Cr
以外の元素の窒化物に対しても、本発明は有効なエッチ
ング方法となることが分かる。
【0180】上記の実施例におけるCrN膜の微細パタ
ーンの作製においては、各種プラズマ処理によって、C
rN膜は、水素、フッ素以外の構成元素の組成分布や結
晶構造の変化は見られず、また結晶欠陥や転位等の照射
損傷は誘起されていないことがX線光電子分光(XP
S)、二次イオン質量分析(SIMS)、X線回折(X
RD)、透過電子顕微鏡観察により確認され、フォトマ
スクとして用いる場合の光学的特性や電気的特性、機械
的特性に変化が生じていないことが確認された。
【0181】図14は、本実施例において得られたXP
S分析結果を表すグラフ図である。すなわち、同図
(a)は、CHFガスプラズマ照射したCrN膜にお
ける深さ方向のXPSデータ、同図(b)は比較用の未
処理CrN膜のXPSデータ、同図(c)は、CHF
ガスプラズマ照射したTiN膜における深さ方向のXP
Sデータをそれぞれ表す。
【0182】これらのグラフから分かるように、XPS
分析では、窒素1sの結合エネルギースペクトルにおい
て、CHFガスプラズマ照射したCrN膜(図14
(a))、TiN膜(図14(c))では、ともに窒素
と水素の結合を示すNHのピークが観測されており、
窒化物膜のエッチングに水素イオンが重要な役割を果た
していることが分かった。
【0183】以上、詳述したように、本実施例において
は、窒化膜の水素イオン効果を利用したドライエッチン
グによって所望の高精度の微細パターンを作製すること
ができることが示された。本実施形態の微細パターン形
成方法には、以下のような2つの利点があることが判明
した。
【0184】第1の利点は、従来のエッチング方法にお
いて生じるマイクロローディング効果が抑制され、基板
面内でのCD制御性を高めることが期待できることであ
る。すなわち、本発明によるエッチング方法は、窒化物
膜中に注入された水素およびフッ素のイオン濃度分布が
サイズおよび形状に影響を与えるものである。従って、
その後の酸素エッチングにおいては、濃度プロファイル
に応じて窒化物膜のエッチングが進むため、従来エッチ
ングにおいて生じるマイクロローディング効果は抑制さ
れる。また、エッチング反応生成物の揮発性が高いケミ
カルエッチングにより窒化物膜はエッチングされ、50
nm以下の微細化にも対応できる。
【0185】第2の利点は、水素およびフッ素を含むガ
スのプラズマ照射において、プラズマ密度、バイアス電
位、ガス圧力等を調整することで、イオンの基板への入
射方向を制御し、得られる窒化膜のパターン形状を制御
できることである。垂直形状のパターンを形成したい場
合は、ガス圧力を下げ、イオンの平均自由工程を長く
し、バイアス電位を上げ直進性を高めることにより、イ
オンが基板に対して垂直に入射され、好適な条件とな
る。
【0186】光露光用マスクでは、更なる微細化に対応
するため、光近接効果補正用のセリフやジョグ等の更に
微細な遮光体パターンの形成や、位相シフトマスク、特
にレベンソンマスクが必要とされている。このような遮
光体パターンのアスペクト比は高く、その製造における
エッチング工程において、現在行われているウェットエ
ッチング法またはドライエッチング法によりパターンを
形成する方法では、そのサイズおよび加工形状、面内C
Dを高精度に制御を行うことは困難である。これに対し
て、本実施形態のエッチング方法によりマスクを作製す
れば、低コストで高精度な微細パターンが容易に形成で
き、また高性能位相シフトマスクを作製することができ
る。
【0187】なお、本実施例においては、窒化物膜とし
て、CrN膜とTiN膜を用いたが、その他、CrとN
を含む化合物、CrCN、CrCON, TiAlNに
おいても同様の本発明の効果があることも実験により確
認できた。また、以上の説明においては、「CrN」、
「TiN」と表記したが、その組成比としては1:1の
組成に限らず、その他の組成比を持つ窒化物膜に対して
も同様の本発明の効果があることは明らかである。
【0188】また、本実施例は、窒化物膜の構成材料で
ある窒素と注入された水素及びフッ素の反応が大きく寄
与していることを示していることから、他の金属窒化
物、窒化物半導体、窒素を含有する化合物材料に対して
も同様の効果があることは明らかである。
【0189】さらに、本実施例において用いたSiO
膜6は、窒化物膜のパターン形成には必ずしも必須では
なく、窒化物膜の上に直接レジストマスクを形成するこ
とでも、窒化物膜のパターニングを行うことができる。
【0190】また、本実施例において用いたSiO
6は、SiO膜に限られず水素、フッ素イオンを十分
に透過させる膜であり、剥離が容易な材料であれば良
い。
【0191】また、本実施例の成膜においてスパッタ装
置を用いて行ったが、CVD装置等を用いて行うことも
可能である。
【0192】また、本実施例で水素、フッ素を含むガス
を用いたプラズマ処理においては、ここで用いたCHF
、CF+H、NH以外にも、水素およびフッ素
を含むガスの系であれば可能である。また、水素と組み
合わせる元素としては、フッ素以外のハロゲン元素も可
能であるが、イオン半径、質量の最も小さい元素からな
るフッ素ガスが好適である。
【0193】また、上述の実施例においては、プラズマ
源としてICPを用いたが、平行平板型RIE(Reacti
ve Ion Etching)装置やマグネトロンプラズマ装置、電
子サイクロトロン共鳴を利用したECR型RIE装置
や、ヘリコンプラズマ源を用いたプラズマ装置でも可能
である。本実施例で用いたICPプラズマ源の場合、プ
ラズマを発生させるための電源(プラズマソース)とプ
ラズマからイオンを試料に引き込むための電源(バイア
ス)との2つの電源を持ち、プラズマ密度の高低と試料
へのバイアス電位とが独立に制御できるため、イオンの
方向性制御において好適であると言える。
【0194】また、本実施例の酸素プラズマによるエッ
チングにおいて、アンテナ出力を変えることにより、酸
素プラズマによるCrN膜のエッチングレートを制御す
ることが可能である。同様に、酸素プラズマにおけるア
ンテナ出力を変化させることで、エッチング形状を変化
させることも可能である。
【0195】また、窒化膜の酸素プラズマエッチングに
おいて、アンテナ出力に加え、バイアス出力を印加した
場合、酸素が照射される窒化膜表面に金属酸化膜が形成
され、窒化膜の酸素によるエッチングは大きく抑制され
る。このバイアス印加による効果を利用して、窒化膜の
エッチングレートおよび形状の制御、パターン形成、欠
陥修正することが可能である。
【0196】また、酸素プラズマ処理において、他にN
、Cl、H等のガスを添加することにより、Cr
N膜のエッチングレートおよび形状の制御も可能である
ことは明らかである。
【0197】(第7の実施例)まず、本発明の第7の実
施例として、本発明の第2実施形態によりCr系膜を用
いたフォトマスクやレチクルあるいは各種回路基板の欠
陥修正方法について説明する。
【0198】まず、Crを遮光膜材料とするフォトマス
クの欠陥修正方法について説明する。
【0199】図15は、本実施例のフォトマスクの欠陥
修正方法を表す概念図である。
【0200】まず、図15(a)に表したように、Cr
パターン形成8Aにおいて、残留Cr膜により発生した
黒欠陥部分9Aに、イオン注入法により60keVの窒
素イオンビームを照射することにより、窒素イオンをC
r膜中に注入し、黒欠陥部分9AをCrN膜とした。
【0201】次に、図15(b)に表したように、水素
4およびフッ素4’を含むガスのプラズマを照射して、
基板に水素およびフッ素のイオンの注入領域10を形成
した。ここでは、アンテナ出力600W、バイアス出力
300WのCHFガス(ガス圧力:0.6Pa、流
量:100sccm)のプラズマ照射を30秒、ICP
プラズマエッチング装置により行った。
【0202】その後、図15(c)に表したように、ア
ンテナ出力500Wの酸素プラズマ5(ガス圧力:0.
7Pa、流量:100sccm)を照射することによ
り、黒欠陥部分9AであるCrN膜部分のみが選択的に
エッチングされ、黒欠陥が修正された。
【0203】次に、CrNを遮光膜材料とするフォトマ
スクの欠陥修正方法を一例として具体的に説明する。
【0204】図16は、本発明の第2実施形態によるC
rNフォトマスクの欠陥修正方法を表す概念図である。
【0205】まず、図16(a)に表したように、Cr
Nパターン形成8Bにおいて残留CrN膜により発生し
た黒欠陥部分9Bに、イオン注入法により60keVの
水素イオンビームを集束し、黒欠陥部分の膜中に高濃度
に水素イオン4を注入した。
【0206】次に、図16(b)に表したように、水素
を含まないフッ素系ガスのプラズマ照射4’により、基
板へフッ素のイオンの注入を行った。ここでは、アンテ
ナ出力600W、バイアス出力300WのSFガス
(ガス圧力:0.5Pa、流量:100sccm)のプ
ラズマ照射を30秒、ICPプラズマエッチング装置に
より行った。
【0207】次に、図16(c)に表したように、アン
テナ出力500Wの酸素プラズマ(ガス圧力:0.7P
a、流量:100sccm)を照射することにより、水
素及びフッ素が注入されたCrN膜の黒欠陥部分9Bの
みが選択的にエッチングされ、黒欠陥が修正された。
【0208】以上説明したように、Cr系膜を用いたフ
ォトマスクやレチクルあるいは各種回路基板の欠陥修正
において、パターン欠陥部分以外に損傷を与えず、高精
度の修正が行うことができることが確認された。
【0209】本実施例においても、水素とフッ素を注入
したCrN膜のCr膜の酸素プラズマに対するそれぞれ
のエッチングレートが、大きく変化することを利用し
た。
【0210】また、上記の実施例におけるCrN膜の欠
陥修正においても、水素を含むガスおよび酸素ガス等の
各種プラズマおよびイオンビーム照射によって、CrN
膜は、構成元素の組成分布、結晶構造の変化は見られ
ず、また欠陥や転位等の照射損傷は誘起されていないこ
とがX線光電子分光(XPS)、二次イオン質量分析
(SIMS)、X線回折(XRD)、透過電子顕微鏡観
察により示され、マスクとして用いる場合の光学的特性
や電気的特性、機械的特性に変化が生じていないことが
分かった。
【0211】図17は、本実施例の欠陥修正方法の流れ
を表すフローチャートである。同図については、図8に
関して前述したものと同様のステップには同一の符号を
付して詳細な説明は省略する。
【0212】ここで、本実施例のフローチャートが図8
と異なる点は、ステップS4’において、水素イオンビ
ームに加えて、ハロゲン元素のイオンビームも照射する
ことである。ハロゲン元素としては、フッ素を用いるこ
とが望ましい。またね水素イオンビームとハロゲン元素
のイオンビームとは、同時に照射しても良いが、必ずし
も同時である必要はなく、それぞれ別々に照射しても良
い。
【0213】(第8の実施例)次に、本発明の第2の実
施形態において、GaN膜の微細パターンを作製した具
体例について説明する。
【0214】図18は、本実施例の要部工程を表す概略
工程断面図である。
【0215】まず、同図(a)に表したように、GaN
膜を含む積層構造を形成した。具体的には、サファイア
基板32の上に、MOCVD(metal-organic chemical
vapor deposition)法によりTMG(tri-methyl gall
ium)とNHとを主原料ガスとして膜厚約1μmのG
aN膜31を形成した。さらに、その上にスパッタリン
グ法により、膜厚500nmのSiO膜36を成膜し
た。
【0216】次に、図18(b)に表したように、レジ
ストマスク3を形成した。その工程の詳細は、図13に
関して前述したものと同様である。
【0217】次に、図18(c)に表したように、水素
4及びフッ素4’の注入を行った。具体的には、ICP
プラズマエッチング装置において、アンテナ出力600
W、バイアス出力300WのCHFガス(ガス圧力:
0.6Pa、流量:100sccm)のプラズマ照射を
5分間行った。これにより、マスク3の開口部のSiO
2膜36がエッチングされ、その下のGaN膜31に水
素4とフッ素4’の注入領域31Aが形成された。
【0218】次に、図18(d)に表したように、酸素
プラズマ照射を行った。具体的には、アンテナ出力50
0Wの酸素プラズマ5(ガス圧力:0.7Pa、流量:
100sccm)を20分照射することにより、レジス
トマスク3を除去するとともに、マスク3の開口部分に
位置するGaN膜の注入領域31Aのエッチングが行わ
れ、パターンが形成された。最後に、フッ酸系エッチン
グ溶液のウェットエッチングによりSiO膜36を除
去し、GaN膜31の微細パターンが得られた。
【0219】得られたGaN膜31は、所期のパターン
に形成されており、本実施形態によれば、従来は必ずし
も容易でなかった窒化ガリウム系半導体のパターニング
も確実且つ容易に実現できることが確認された。
【0220】また、GaN膜31のエッチング後のパタ
ーンの表面と側壁を走査型電子顕微鏡を用いて観察した
ところ、エッチピットは見られず、スムーズな面が形成
されていることがわかった。また、エッチング後のGa
N表面の組成を、オージェ電子分光法により測定した結
果、GaとNの比は、処理前と全く変化していないこと
が確認できた。これは、GaN膜上に形成されたSiO
膜36が直接プラズマ照射されることで、下地のGa
N膜31へ与えるプラズマ照射損傷が抑制されたからで
あると考えられる。すなわち、本実施形態によれば、従
来のプラズマエッチングにおいて生ずる照射損傷による
電気特性、光学特性の劣化を防げることが分かった。
【0221】ここでは、GaN膜について具体例として
説明したが、同様にInN、AlN、InGaN、Al
GaN等のエッチングにおいても本発明を適用し、同様
の効果を得ることができる。
【0222】図19は、本発明により形成される半導体
装置の一例を表す概念図である。すなわち、同図は、窒
化ガリウム系発光ダイオードの断面構成を表す概念であ
る。その概略構成について説明すると以下の如くであ
る。すなわち、発光素子100は、サファイア基板11
2上に積層された半導体の多層構造を有する。サファイ
ア基板112上には、バッファ層114、n型コンタク
ト層116、n型クラッド層118、発光層120、p
型クラッド層122およびp型コンタクト層124がこ
の順序で形成されている。
【0223】バッファ層114の材料は、例えばn型の
GaNとすることができる。n型コンタクト層116
は、n側電極134とのオーミック接触を確保するよう
に高いキャリア濃度を有するn型の半導体層であり、そ
の材料は、例えば、GaNとすることができる。n型ク
ラッド層118およびp型クラッド層122は、それぞ
れ発光層120にキャリアを閉じこめる役割を有し、発
光層よりも大きいバンドギャップを有することが必要と
される。その材料は、例えば、発光層120よりもバッ
ドギャップの大きいAlGaNとすることができる。発
光層120は、発光素子に電流として注入された電荷が
再結合することにより発光を生ずる半導体層である。そ
の材料としては、例えば、アンドープのInGaNを用
いることができる。p型コンタクト層124は、p側電
極とのオーミック接触を確保するように高いキャリア濃
度を有するp型の半導体層であり、その材料は、例え
ば、GaNとすることができる。
【0224】p型コンタクト層124の上には、p側電
極層126が堆積されている。また、n型コンタクト層
118の上には、n側電極層134が堆積されている。
【0225】p型コンタクト層124の上の一部分に
は、電流阻止層130が形成されている。電流阻止層1
30の上にはAuからなるボンディング・パッド132
が堆積され、その一部分はp側電極126と接触してい
る。ボンディング・パッド132には、駆動電流を素子
に供給するための図示しないワイアがボンディングされ
る。
【0226】電流阻止層130は、Au電極32の下部
で発光が生ずるのを抑制する役割を有する。すなわち、
発光素子100では、発光層120で生じた発光を電極
層126を透過させて上方に取り出すようにされてい
る。しかし、ボンディング・パッド132では電極の厚
さが厚いために光を透過させることができない。そこ
で、電流阻止層130を設けることにより、ボンディン
グ・パッド132の下に駆動電流が注入されないように
して、無駄な発光を抑制するようにしている。
【0227】また、n側電極層134の上にもボンディ
ング・パッド132が積層されている。ボンディング・
パッド132は、Auを厚く堆積することにより形成す
ることができる。さらに、ボンディング・パッド132
以外の表面部分は、酸化シリコン層145により覆われ
ている。
【0228】以上説明した発光素子100は、リードフ
レームや実装基板などの図示しないマウント部材に対し
て、基板112の裏面側が接着され、ボンディング・パ
ッド132にそれぞれワイアがボンディングされて、駆
動電流が供給される。
【0229】る。
【0230】以上説明した発光ダイオードを形成するに
あたっては、まず、基板112の上に、半導体層114
〜124を順次成長させたウェーハを形成し、しかる後
に、n側電極134を形成するために、このウェーハを
パターニングしてn型コンタクト層116を部分的に露
出させる必要がある。このパターニング工程において、
本発明を用いることができる。すなわち、本発明の第8
実施例として前述したような方法により、窒化物半導体
からなるウェーハをパターニングして、n型コンタクト
層116を部分的に露出させることができる。
【0231】本発明によれば、このパターニング工程に
おいて、各半導体層に損傷を与える心配もなく、確実且
つ容易に所定のパターニング形状を実現することができ
る。
【0232】なお、図19に例示した構造は、本発明に
より得られる半導体装置としてはほんの一例に過ぎず、
その他にも、窒化物半導体を用いた発光ダイオードや半
導体レーザなどの各種の発光素子、受光素子、光変調素
子、あるいは、トランジスタやダイオードなどの各種の
電子デバイス、さらには、これらを適宜組み合わせた集
積回路も同様に形成することができる。
【0233】以上、具体例を参照しつつ本発明の実施の
形態について説明した。しかし、本発明は、これらの具
体例に限定されるものではない。例えば、上述した実施
例においては、フォトマスクの作製について多く例示し
たが、本発明は、光リソグラフィ技術に限ることなく、
半導体配線技術、X線、電子線を露光源に利用したマス
クやパターン転写技術にも適用できることはいうまでも
ない。
【0234】さらに、金属化合物層のパターンを有する
半導体デバイスや光学素子、あるいはマイクロマシー
ン、画像表示装置などの各種の素子について、本発明を
同様に適用し、同様の効果を得ることができる。
【0235】
【発明の効果】以上詳述したように、本発明によれば、
クロム(Cr)などの金属の窒素を含む化合物層を主成
分とする薄膜のエッチングにおいて、Hイオン効果を
用いた酸素プラズマによるエッチング法を用いたとき、
反応生成物の揮発性が高いケミカルエッチングによりエ
ッチングされるため、従来の塩素系ガスを用いたエッチ
ング法に比べ、マイクロローディング効果は低減され、
高精度な光近接補正(OPC)マスクやレベンソンマス
ク等を作製できる。
【0236】また、本発明によれば、従来のドライエッ
チング方法で用いてきた塩素系ガスに代わり、水素を含
むガスおよび酸素ガスでエッチング可能となるため、簡
便且つ安全に行える微細パターン形成方法であると言え
る。
【0237】さらに、本発明によれば、クロムやその化
合物層などを用いたフォトマスクやレチクルあるいは各
種回路基板の欠陥修正において、パターン欠陥部分以外
に損傷を与えず、高精度の修正が行うことができる。
【0238】また、本発明によれば、水素とともにフッ
素などのハロゲン元素も注入することにより、さらに確
実且つ容易にパターンの形成を実行することができる。
【0239】さらに、本発明によれば、簡便且つ低コス
トで窒素を含む金属化合物層の露光マスクおよび各種微
細パターンを製造することが可能となる。同時に低コス
トの露光マスクを使用することにより、転写露光工程を
低コスト化でき、廉価な半導体装置あるいは光学素子を
供給することが可能となり、産業上のメリットは多大で
ある。
【図面の簡単な説明】
【図1】本実施形態の基本概念を表す工程断面図であ
る。
【図2】本実施形態の第2実施例の形成方法を表す工程
断面図である。
【図3】本実施形態の第3実施例の微細パターンの製造
工程を表す工程断面図である。
【図4】本実施形態の第3実施例により得られた超微細
パターンを走査型電子顕微鏡で観察した拡大像である。
【図5】Crを遮光膜材料とするフォトマスクの欠陥を
修正する方法を表す概念図である。
【図6】CrNxを遮光膜材料とするフォトマスクの欠
陥を修正する方法を表す概念図である。
【図7】本実施形態の第4実施例の欠陥修正方法を実行
するための欠陥修正装置を表す概念図である。
【図8】本実施形態の欠陥修正装置におけるプロセスフ
ローを例示するフローチャートである。
【図9】半導体デバイスの製造工程を表すフローチャー
トである。
【図10】本発明の第2の実施形態の基本概念を表す工
程断面図である。
【図11】本発明の第6実施例で用いたCrN微細パタ
ーンの形成の要部工程を表す概略工程断面図である。
【図12】第6実施例のプロセスA及びBにおけるパタ
ーンを走査型電子顕微鏡で観察した拡大像である。
【図13】本発明の第6実施例で用いたTiN微細パタ
ーンの形成の要部工程を表す概略工程断面図である。
【図14】本発明の第6実施例において得られたXPS
分析結果を表すグラフ図である。
【図15】本発明の第7実施例のフォトマスクの欠陥修
正方法を表す概念図である。
【図16】本発明の第2実施形態によるCrNフォトマ
スクの欠陥修正方法を表す概念図である。
【図17】本発明の第7実施例の欠陥修正方法の流れを
表すフローチャートである。
【図18】本発明の第8実施例の要部工程を表す概略工
程断面図である。
【図19】本発明により形成される半導体装置の一例を
表す概念図である。
【符号の説明】
1 CrNx膜 1A 水素イオンが注入されたCrNx或はCrON膜 2 露光光に対して透過性の高い基板(石英基板) 3 レジスト 4 水素を含むガスによるプラズマ 5 酸素ガスによるプラズマ 5A 六弗化炭素/酸素混合ガスによるプラズマ 6 SiO膜 7 位相差π[rad]を与える深さ 8A、8B マスクパターン 9A、9B 黒欠陥 10 窒素および水素イオンビーム照射部分 11 水素イオンビーム照射部分 21 TiN膜 22 基板 31 GaN膜 32 サファイア基板 36 SiO膜 112 サファイア基板 114 バッファ層 116 n型コンタクト層 118 n型クラッド層 120 発光層 122 p型クラッド層 124 p型コンタクト層

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】窒素を含む金属化合物層を用意する工程
    と、 前記金属化合物層の所定領域に水素を導入する工程と、 前記金属化合物層の前記所定領域を励起された酸素を含
    む雰囲気に晒すことにより、前記水素が導入された所定
    領域を選択的に除去するエッチング工程と、 を備えたことを特徴とするパターンの形成方法。
  2. 【請求項2】窒素を含む金属化合物層を用意する工程
    と、 前記金属化合物層の所定領域に水素を導入する工程と、 前記金属化合物層の前記所定領域を励起された酸素を含
    む雰囲気に晒すことにより前記所定領域を選択的に除去
    するエッチング工程と、 前記所定領域が選択的に除去されることにより残された
    前記金属化合物層の側面から前記酸素を含む雰囲気によ
    り等方的にサイドエッチングを進行させるサイドエッチ
    ング工程と、 を備えたことを特徴とするパターンの形成方法。
  3. 【請求項3】前記水素を導入する工程において、前記金
    属化合物層の前記所定領域にハロゲン元素も導入するこ
    とを特徴とする請求項1または2に記載のパターンの形
    成方法。
  4. 【請求項4】前記ハロゲン元素は、フッ素であることを
    特徴とする請求項3記載のパターンの形成方法。
  5. 【請求項5】前記水素を導入する工程に先立ち、前記金
    属化合物層の前記所定領域以外にマスクパターンを形成
    することを特徴とする請求項1〜4のいずれか1つに記
    載のパターンの形成方法。
  6. 【請求項6】前記水素を導入する工程は、イオン注入ま
    たはレーザドーピングにより行なわれ、 前記エッチング工程は、励起された酸素を含有したプラ
    ズマの照射により行なわれることを特徴とする請求項1
    〜5のいずれか1つに記載のパターンの形成方法。
  7. 【請求項7】前記金属化合物層は、クロム(Cr)、チ
    タン(Ti)、アルミニウム(Al)、モリブデン(M
    o)、タングステン(W)、ガリウム(Ga)、インジ
    ウム(In)及びボロン(B)からなる群から選択され
    た少なくとも一つの金属を含むことを特徴とする請求項
    1〜6のいずれか1つに記載のパターンの形成方法。
  8. 【請求項8】金属パターンを修正する方法であって、 所定のパターンよりも前記金属がはみ出した部分に、窒
    素と水素とを選択的に導入する工程と、 前記金属パターンを励起された酸素を含む雰囲気に晒す
    ことにより前記はみ出した部分を除去するエッチング工
    程と、 を備えたことを特徴とするパターンの修正方法。
  9. 【請求項9】窒素を含む金属化合物のパターンを修正す
    る方法であって、 所定のパターンよりも前記金属化合物がはみ出した部分
    に、水素を選択的に導入する工程と、 前記金属化合物のパターンを励起された酸素を含む雰囲
    気に晒すことにより前記はみ出した部分を選択的に除去
    するエッチング工程と、 を備えたことを特徴とするパターンの修正方法。
  10. 【請求項10】前記選択的に導入する工程において、前
    記はみ出した部分にハロゲン元素も導入することを特徴
    とする請求項8または9に記載のパターンの修正方法。
  11. 【請求項11】前記ハロゲン元素は、フッ素であること
    を特徴とする請求項10記載のパターンの修正方法。
  12. 【請求項12】前記水素を導入する前記工程は、イオン
    注入またはレーザドーピングにより行なわれ、 前記エッチング工程は、励起された酸素を含有したプラ
    ズマの照射により行なわれることを特徴とする請求項8
    〜11のいずれか1つに記載のパターンの修正方法。
  13. 【請求項13】前記金属化合物層は、クロム(Cr)、
    チタン(Ti)、アルミニウム(Al)、モリブデン
    (Mo)、タングステン(W)、ガリウム(Ga)、イ
    ンジウム(In)及びボロン(B)からなる群から選択
    された少なくとも一つの金属を含むことを特徴とする請
    求項8〜12のいずれか1つに記載のパターンの修正方
    法。
  14. 【請求項14】窒化物層の所定領域に水素を導入した後
    に前記所定領域を励起された酸素を含む雰囲気に晒すこ
    とにより、前記窒化物層から前記所定領域を選択的に除
    去することにより形成された窒化物パターン。
  15. 【請求項15】窒化物層の所定領域に水素とハロゲン元
    素とを導入した後に前記所定領域を励起された酸素を含
    む雰囲気に晒すことにより、前記窒化物層から前記所定
    領域を選択的に除去することにより形成された窒化物パ
    ターン。
  16. 【請求項16】前記ハロゲン元素は、フッ素であること
    を特徴とする請求項15記載の窒化物パターン。
  17. 【請求項17】前記窒化物層は、クロム(Cr)、チタ
    ン(Ti)、アルミニウム(Al)、モリブデン(M
    o)、タングステン(W)、ガリウム(Ga)、インジ
    ウム(In)及びボロン(B)からなる群から選択され
    た少なくとも一つの金属を含むことを特徴とする請求項
    14〜16のいずれか1つに記載の窒化物パターン。
  18. 【請求項18】請求項14〜17のいずれか1つに記載
    の窒化物パターンを備えたことを特徴とする半導体装
    置。
JP2000300633A 2000-03-30 2000-09-29 エッチング方法 Expired - Fee Related JP3974319B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000300633A JP3974319B2 (ja) 2000-03-30 2000-09-29 エッチング方法
US09/819,891 US6531403B2 (en) 2000-03-30 2001-03-29 Method of etching an object, method of repairing pattern, nitride pattern and semiconductor device
US10/342,361 US6660546B2 (en) 2000-03-30 2003-01-15 Method of etching an object, method of repairing pattern, nitride pattern and semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000095143 2000-03-30
JP2000-95143 2000-03-30
JP2000300633A JP3974319B2 (ja) 2000-03-30 2000-09-29 エッチング方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006225163A Division JP2006317981A (ja) 2000-03-30 2006-08-22 パターンの修正方法

Publications (3)

Publication Number Publication Date
JP2001345310A true JP2001345310A (ja) 2001-12-14
JP2001345310A5 JP2001345310A5 (ja) 2004-12-24
JP3974319B2 JP3974319B2 (ja) 2007-09-12

Family

ID=26588950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000300633A Expired - Fee Related JP3974319B2 (ja) 2000-03-30 2000-09-29 エッチング方法

Country Status (2)

Country Link
US (2) US6531403B2 (ja)
JP (1) JP3974319B2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004040051A (ja) * 2002-07-08 2004-02-05 Sony Corp 半導体デバイスの製造方法
CN1301443C (zh) * 2002-03-09 2007-02-21 三星Techwin株式会社 基底曝光方法和用于该方法的装置
JP2009021582A (ja) * 2007-06-22 2009-01-29 Advanced Mask Technology Center Gmbh & Co Kg マスクブランク、フォトマスク、及びフォトマスクの製造方法
JP2011181894A (ja) * 2010-02-02 2011-09-15 Sii Nanotechnology Inc Euvマスク修正装置および方法
JP2011215404A (ja) * 2010-03-31 2011-10-27 Toppan Printing Co Ltd フォトマスクブランクとその製造方法
JP2015064603A (ja) * 2010-02-02 2015-04-09 株式会社日立ハイテクサイエンス Euvマスク修正装置
KR20180075400A (ko) * 2016-12-26 2018-07-04 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR20180075399A (ko) * 2016-12-26 2018-07-04 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR20190057380A (ko) * 2016-10-05 2019-05-28 매직 립, 인코포레이티드 불균일한 회절 격자들의 제조
JP2021108368A (ja) * 2019-12-27 2021-07-29 株式会社日立ハイテク 半導体装置の製造方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483174B1 (en) * 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
GB0130870D0 (en) * 2001-12-21 2002-02-06 Accentus Plc Solid-state antenna
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
DE10224217A1 (de) * 2002-05-31 2003-12-18 Infineon Technologies Ag Photosensitiver Lack zur Beschichtung auf einem Halbleitersubstrat oder einer Maske
US7887711B2 (en) * 2002-06-13 2011-02-15 International Business Machines Corporation Method for etching chemically inert metal oxides
US6812069B2 (en) * 2002-12-17 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving semiconductor process wafer CMP uniformity while avoiding fracture
JP4550801B2 (ja) * 2003-01-16 2010-09-22 エフ・イ−・アイ・カンパニー マスクを修復するための電子ビーム処理
JP4272654B2 (ja) * 2003-04-11 2009-06-03 Hoya株式会社 クロム系薄膜のエッチング方法及びフォトマスクの製造方法
FR2858876B1 (fr) * 2003-08-12 2006-03-03 St Microelectronics Sa Procede de formation sous une couche mince d'un premier materiau de portions d'un autre materiau et/ou de zones de vide
US7097948B2 (en) * 2003-08-29 2006-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repair of photomasks
US7365321B2 (en) * 2004-03-22 2008-04-29 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US20060042146A1 (en) * 2004-09-01 2006-03-02 Waddy James A Jr Brother's bait bag
KR100615611B1 (ko) * 2005-01-05 2006-08-25 삼성전자주식회사 파티클 제거장치를 갖는 건식 식각 장치 및 이를 이용한위상반전 마스크 제조방법.
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
JP4752349B2 (ja) * 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007027436A (ja) * 2005-07-15 2007-02-01 Toshiba Corp 半導体装置およびその製造方法
US20070123045A1 (en) * 2005-11-30 2007-05-31 Stephan Wege Method for the treatment of material, in particular in the fabrication of semiconductor components
US7828987B2 (en) * 2006-03-20 2010-11-09 Applied Materials, Inc. Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
US20070241966A1 (en) * 2006-04-14 2007-10-18 Yung-Shun Chen Conductive antenna structure and method for making the same
US8187978B2 (en) * 2007-07-27 2012-05-29 Freescale Semiconductor, Inc. Method of forming openings in a semiconductor device and semiconductor device
US7759242B2 (en) * 2007-08-22 2010-07-20 Qimonda Ag Method of fabricating an integrated circuit
KR100924342B1 (ko) * 2007-10-15 2009-10-30 주식회사 하이닉스반도체 포토마스크의 결함 수정 방법
DE102008037943B4 (de) * 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
US8569147B2 (en) * 2009-10-02 2013-10-29 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US8440573B2 (en) * 2010-01-26 2013-05-14 Lam Research Corporation Method and apparatus for pattern collapse free wet processing of semiconductor devices
US8937019B2 (en) * 2012-04-03 2015-01-20 Varian Semiconductor Equipment Associates, Inc. Techniques for generating three dimensional structures
US8828744B2 (en) * 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
CN103091692B (zh) * 2013-01-10 2015-08-19 同济大学 基于激光刻蚀方法的阻抗式镍薄膜量热计及其制作方法
US9308616B2 (en) 2013-01-21 2016-04-12 Innovative Finishes LLC Refurbished component, electronic device including the same, and method of refurbishing a component of an electronic device
US20160060470A1 (en) * 2013-05-01 2016-03-03 Innovative Finishes LLC Method of refurbishing an electronic device component
JP6600513B2 (ja) * 2015-09-04 2019-10-30 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP6697858B2 (ja) * 2015-09-04 2020-05-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2017154924A1 (ja) * 2016-03-08 2017-09-14 日産化学工業株式会社 Iii族窒化物系化合物層を有する半導体基板の製造方法
JP6342570B1 (ja) * 2016-12-27 2018-06-13 株式会社アルバック ギャップ計測方法
CN106784196B (zh) * 2017-01-24 2019-01-29 安徽三安光电有限公司 一种刻蚀掩模及采用该刻蚀掩模制作led的方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5465220A (en) * 1992-06-02 1995-11-07 Fujitsu Limited Optical exposure method
US4154251A (en) * 1976-12-08 1979-05-15 Doyel John S Smoke dispersal device
DE3675491D1 (de) * 1985-09-30 1990-12-13 Siemens Ag Verfahren zur uebertragung feinster fotolackstrukturen.
US4708766A (en) * 1986-11-07 1987-11-24 Texas Instruments Incorporated Hydrogen iodide etch of tin oxide
US4956314A (en) * 1989-05-30 1990-09-11 Motorola, Inc. Differential etching of silicon nitride
US5124561A (en) * 1991-04-04 1992-06-23 International Business Machines Corporation Process for X-ray mask warpage reduction
US5354698A (en) * 1993-07-19 1994-10-11 Micron Technology, Inc. Hydrogen reduction method for removing contaminants in a semiconductor ion implantation process
US5781607A (en) * 1996-10-16 1998-07-14 Ibm Corporation Membrane mask structure, fabrication and use
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
JPH11274489A (ja) * 1998-03-26 1999-10-08 Toshiba Corp 電界効果トランジスタ及びその製造方法
CA2246087A1 (en) * 1998-08-28 2000-02-28 Northern Telecom Limited Method of cleaving a semiconductor wafer
CA2246084A1 (en) * 1998-08-28 2000-02-28 Todd William Simpson Method of patterning semiconductor materials and other brittle materials
US6351403B2 (en) * 1998-09-25 2002-02-26 International Rectifier Corp. Secondary side switching regulator having a phase lock loop control circuit
US6228691B1 (en) * 1999-06-30 2001-05-08 Intel Corp. Silicon-on-insulator devices and method for producing the same
JP2001100395A (ja) * 1999-09-30 2001-04-13 Toshiba Corp 露光用マスク及びその製造方法

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1301443C (zh) * 2002-03-09 2007-02-21 三星Techwin株式会社 基底曝光方法和用于该方法的装置
JP2004040051A (ja) * 2002-07-08 2004-02-05 Sony Corp 半導体デバイスの製造方法
JP2009021582A (ja) * 2007-06-22 2009-01-29 Advanced Mask Technology Center Gmbh & Co Kg マスクブランク、フォトマスク、及びフォトマスクの製造方法
JP2011181894A (ja) * 2010-02-02 2011-09-15 Sii Nanotechnology Inc Euvマスク修正装置および方法
JP2015064603A (ja) * 2010-02-02 2015-04-09 株式会社日立ハイテクサイエンス Euvマスク修正装置
JP2011215404A (ja) * 2010-03-31 2011-10-27 Toppan Printing Co Ltd フォトマスクブランクとその製造方法
KR20190057380A (ko) * 2016-10-05 2019-05-28 매직 립, 인코포레이티드 불균일한 회절 격자들의 제조
US11609365B2 (en) 2016-10-05 2023-03-21 Magic Leap, Inc. Fabricating non-uniform diffraction gratings
KR102233855B1 (ko) 2016-10-05 2021-03-29 매직 립, 인코포레이티드 불균일한 회절 격자들의 제조
JP2018106144A (ja) * 2016-12-26 2018-07-05 信越化学工業株式会社 フォトマスクブランク及びその製造方法
JP2018106143A (ja) * 2016-12-26 2018-07-05 信越化学工業株式会社 フォトマスクブランク及びその製造方法
KR20180075399A (ko) * 2016-12-26 2018-07-04 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR102260707B1 (ko) 2016-12-26 2021-06-07 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR102267306B1 (ko) 2016-12-26 2021-06-21 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
KR20180075400A (ko) * 2016-12-26 2018-07-04 신에쓰 가가꾸 고교 가부시끼가이샤 포토마스크 블랭크 및 그의 제조 방법
JP2021108368A (ja) * 2019-12-27 2021-07-29 株式会社日立ハイテク 半導体装置の製造方法
JP7085608B2 (ja) 2019-12-27 2022-06-16 株式会社日立ハイテク 半導体装置の製造方法
US11756793B2 (en) 2019-12-27 2023-09-12 Hitachi High-Tech Corporation Semiconductor device manufacturing method

Also Published As

Publication number Publication date
US20030146485A1 (en) 2003-08-07
US6660546B2 (en) 2003-12-09
US20010037994A1 (en) 2001-11-08
JP3974319B2 (ja) 2007-09-12
US6531403B2 (en) 2003-03-11

Similar Documents

Publication Publication Date Title
JP3974319B2 (ja) エッチング方法
US8986562B2 (en) Methods of laser processing photoresist in a gaseous environment
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7767365B2 (en) Methods for forming and cleaning photolithography reticles
US8309277B2 (en) Photomask making method
US7371484B2 (en) Photomask blank and method of fabricating a photomask from the same
US6406818B1 (en) Method of manufacturing photomasks by plasma etching with resist stripped
JP2000091318A (ja) 半導体装置の製造方法
EP2594992A2 (en) Evaluation of etching conditions for pattern-forming film
TWI819769B (zh) 空白罩幕以及使用其之光罩幕
JP2006317981A (ja) パターンの修正方法
US11971653B2 (en) Photomask blank, method for producing photomask, and photomask
US6506525B2 (en) Method of repairing an opaque defect in a photomask
JP3862965B2 (ja) 加工方法
US20110027699A1 (en) Reducing ion migration of absorber materials of lithography masks by chromium passivation
JP3406581B2 (ja) メンブレンマスク、その製造方法及びマスクパターンの位置精度保持方法
US20090098470A1 (en) Method of Correcting Defect in Photomask
CN102141742A (zh) 修整光致抗蚀剂图案的方法
KR20030049940A (ko) 위상반전 마스크 제작방법
KR100418121B1 (ko) 반도체소자의 제조방법
US20080203386A1 (en) Method of forming a patterned resist layer for patterning a semiconductor product
KR101143623B1 (ko) 산화 처리를 이용한 위상반전마스크 형성방법
US20030044694A1 (en) Method of fabricating an exposure mask for semiconductor manufacture
US20040265703A1 (en) Method for dry etching photomask material

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070511

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070608

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070614

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110622

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120622

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees