JP2000243856A - 半導体デバイス形成方法 - Google Patents

半導体デバイス形成方法

Info

Publication number
JP2000243856A
JP2000243856A JP2000038986A JP2000038986A JP2000243856A JP 2000243856 A JP2000243856 A JP 2000243856A JP 2000038986 A JP2000038986 A JP 2000038986A JP 2000038986 A JP2000038986 A JP 2000038986A JP 2000243856 A JP2000243856 A JP 2000243856A
Authority
JP
Japan
Prior art keywords
dielectric layer
semiconductor device
gate dielectric
device substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000038986A
Other languages
English (en)
Other versions
JP4409028B2 (ja
Inventor
Ping Chen
ピン・チェン
Hatt Nabakanta
ナバカンタ・ハット
Paul G Y Tsui
ポール・ジー・ワイ・ツイ
T K Ham Daniel
ダニエル・ティー・ケイ・ハム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JP2000243856A publication Critical patent/JP2000243856A/ja
Application granted granted Critical
Publication of JP4409028B2 publication Critical patent/JP4409028B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D85/00Containers, packaging elements or packages, specially adapted for particular articles or materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65DCONTAINERS FOR STORAGE OR TRANSPORT OF ARTICLES OR MATERIALS, e.g. BAGS, BARRELS, BOTTLES, BOXES, CANS, CARTONS, CRATES, DRUMS, JARS, TANKS, HOPPERS, FORWARDING CONTAINERS; ACCESSORIES, CLOSURES, OR FITTINGS THEREFOR; PACKAGING ELEMENTS; PACKAGES
    • B65D2313/00Connecting or fastening means
    • B65D2313/08Double sided adhesive tape, e.g. for suspension of the container
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/981Utilizing varying dielectric thickness

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Weting (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】半導体デバイス基板の第1ゲート誘電層に害を
与えずに、異なる厚さの第2ゲート誘電層を製造する方
法を提供する。 【解決手段】半導体デバイス基板上に第1誘電層(2
2)を形成する。レジスト層(32)をパターン化し
て、第1誘電層(22)の一部を露出させる。第1誘電
層(22)の一部を除去して、半導体デバイス基板の一
部(42)を露出させる。レジスト層(32)を除去す
る。フッ素含有溶液を用いずに半導体デバイス基板を清
浄する。半導体デバイス基板上に第2誘電層(62)を
形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に半導体デバ
イス形成方法に関し、特にゲート誘電層を含む半導体デ
バイスの形成方法に関するものである。
【0002】
【関連する技術】異なる電位で動作する複数のトランジ
スタが集積回路設計中に組み込まれていくに従って、複
数のゲート誘電層厚さを有する半導体デバイスがだんだ
ん一般的になっている。例えば、不揮発性メモリ内の離
れたトランジスタが異なる電位で動作可能であり、異な
る電力消費および性能検討に適応する。
【0003】しかし、異なるゲート誘電層厚さを有する
デバイスの製造は問題が多い。そのような1つの従来の
方法は、典型的には約25ナノメートル以上の厚さの第
1ゲート誘電層を半導体デバイス基板上に形成するもの
である。第1ゲート誘電層は、パターン化されエッチン
グされて、基板のある領域を露出させ、そこに続いて第
2ゲート誘電層が形成される。レジスト除去後に、第2
ゲート誘電層形成に先立ち、事前清浄行程が実行され
る。従来の事前清浄行程は典型的には、フッ化水素(H
F)酸溶液その他の酸エッチャントを使用して、第1ゲ
ート誘電層の上部をエッチして除去する。第1ゲート誘
電層の上部は、レジスト層によって、残余の有機性又は
移動性イオン汚染物を含有することがあるからである。
事前清浄行程に続き、典型的には約7〜10ナノメート
ルの範囲内の厚さを有する第2ゲート誘電層が形成され
る。
【0004】この事前清浄行程に伴う問題点は、第1ゲ
ート誘電層の最上部分を除去するエッチングの一様性に
関する。25ナノメートル以下のゲート誘電層の場合、
非一様なエッチングのために種々の厚さのゲート誘電層
が生じ、結果として、降伏閾値電圧や駆動電流などのデ
バイスパラメータに比較的大きなばらつきがでてしま
う。さらに、エッチングによって、第1ゲート誘電層に
ピンホールが形成されたり、表面が粗くなったりする。
表面の粗さやピンホールは、ゲート誘電層が薄くなるに
従い、トランジスタ動作にとってますます有害となる。
【0005】これらの問題を扱った、複数のゲート誘電
層を形成する他の方法があるが、それは以下の理由によ
り望まれないものである。そのような1つの方法は、薄
いゲート誘電層を形成すべき領域に窒素をインプラント
してアニールするものである。しかし、この方法のプロ
セス制御およびスケーラビリティが難しい。なぜなら
ば、インプラント条件に依存して酸化速度が著しく変化
してしまうからである。他の方法として、二重ゲート集
積プロセスを用いて、先ず薄いゲート誘電層およびその
電極を形成し、次に厚いゲート誘電層を形成するものが
ある。この方法はより複雑であり高コストである。なぜ
ならば、追加的なマスク動作を要するからである。最後
の方法として、薄い窒化層を第1ゲート誘電層上に形成
して、HF清浄中に第1ゲート誘電層を保護するものが
ある。しかし、窒化層の厚さとして約1〜3ナノメート
ルが要求され、従来炉での窒素付着を用いることができ
ない。故に、その付着には低いスループットの単一ウエ
ファプロセスの使用が要求される。
【0006】
【実施例】以下に本発明の実施例について図面を参照し
て説明する。図面に示した素子はあくまでも例示であ
り、説明を明瞭にするためにスケールや寸法を誇張して
ある素子があり、本発明を限定するものではない。
【0007】本発明の1実施例に従い、半導体デバイス
基板上に第1誘電層が形成される。レジスト層がパター
ン化され第1誘電層の一部を露出する。第1誘電層の一
部が除去されて、半導体デバイス基板の一部を露出す
る。レジスト層が除去され、半導体デバイス基板がフッ
化水素含有溶液を用いずに清浄化される。次に第2誘電
層が半導体デバイス基板上に形成される。
【0008】図1は、本発明の一実施例に係る半導体デ
バイス形成方法の一部を示した基板部分の断面図であ
る。本明細書において、半導体デバイス基板とは、単結
晶半導体ウェファ、半導体オン絶縁体ウェファなど、半
導体デバイス形成に用いる全ての基板を含む。図1に示
すように、フィールド分離領域14が半導体デバイス基
板の一部内に形成される。図1には浅いトレンチ分離を
示したが、他の分離プロセスも用いることができる。約
5〜30ナノメートルの範囲の厚さを有する犠牲酸化層
16が、半導体デバイス基板上に形成される。半導体デ
バイス基板は続いてパターン化されドープされて、Pウ
ェル領域10およびNウェル領域12を画成する。
【0009】図2に示すように、犠牲酸化層16が除去
され、Pウェル領域10およびNウェル領域12上に第
1ゲート誘電層22が形成される。第1ゲート誘電層2
2は代表的には、熱酸化プロセスを用いて形成される。
第1ゲート誘電層22の厚さは代表的には約15ナノメ
ートル以下であり、通常は10ナノメートル以下であ
る。一実施例では、第1ゲート誘電層22は、約5〜8
ナノメートルの範囲内の厚さである。第1ゲート誘電層
22が引き続く化学プロセスに晒されるので、比較的濃
く形成される。一実施例では、第1ゲート誘電層22
は、約800度C〜1000度Cの範囲の温度で、分子
状酸素および塩素含有種を含む雰囲気を用いて、形成さ
れる。その塩素含有種は、塩素種が雰囲気の体積百分率
で約10%までである、塩酸(HCl)、トリクロロエ
タン(C23Cl3)その他を含む。酸化物は次に、約
800度C〜1000度Cの範囲の温度で、約30分間
アニールされる。
【0010】第1ゲート誘電層22の形成後に、レジス
ト層32がパターン化され、第1ゲート誘電層22の一
部が露出され、後に除去される。代表的には第1ゲート
誘電層22が残る領域は、より高電位で動作するトラン
ジスタ、またはより厚いゲート誘電層を要する特性のト
ランジスタを形成するために用いられる。
【0011】第1ゲート誘電層22の露出された部分
は、Nウェル領域12上にあり、除去されて、図4に示
すように半導体デバイス基板の表面42を露出させる。
プロセス中に、フィールド分離領域14の少しの部分も
除去された様子を凹部44で示す。除去は代表的には、
薄いフッ化水素酸などのフッ素含有溶液を用いて実行さ
れる。この溶液は、レジスト浸食の可能性を減少させる
ために、スプレー酸工具(スプレー工具)に適用され
る。変形的には除去は、エマルジョン(emersion)槽内
で実行され、あるいはプラズマエッチプロセスにて実行
される。第1ゲート誘電層22の露出部分が除去された
後に、図5に示すように、レジスト層32が除去され
る。代表的にはレジスト層は、第1ゲート誘電層22を
著しく損傷しないプロセスで除去される。例えば、下流
プラズマ灰(downstream plasma asher)、有機溶媒、
硫酸・過酸化水素(H2SO4-H22)溶液をレジスト
層32の除去のために用いることができる。
【0012】次に、第2ゲート誘電層の形成に先立ち、
半導体デバイス基板の事前清浄が行われる。事前清浄行
程中、H2SO4-H22溶液が最初用いられ、半導体デ
バイス基板の露出表面から残余の有機汚染物を除去す
る。従来技術とは異なり、事前清浄行程中に意図的な酸
化エッチングは行わない。従来技術では、HF浸漬が通
常用いられて、裸表面42上に自然に形成された酸化物
を除去したり、レジストにより導入された汚染物を含む
第1ゲート誘電層22の最上部分をエッチしたりした。
本発明は、この酸化エッチング行程の必要性を排除し、
かつ安定性の問題を処理したものである。故に、第1ゲ
ート誘電層22は、事前清浄行程の一部として、フッ素
含有溶液やガスに晒されることがない。
【0013】H2SO4-H22溶液により基板が除去さ
れ、脱イオン水でリンスされ、水酸化アンモニア・過酸
化水素(NH4OH-H2O2)溶液に晒される。基板は次に、脱
イオン水でリンスされ、塩酸・過酸化水素(HCl-H2O2
溶液に晒されて、基板表面42から残余の金属汚染物を
除去し、脱イオン水でさらにリンスされる。
【0014】次に基板が処理されて、図6に示すように
第1ゲート誘電層22よりも薄い第2ゲート誘電層62
を形成する。代表的には第2ゲート誘電層62は熱酸化
プロセスを用いて形成され、約2〜8ナノメートルの範
囲内の厚さである。第2ゲート誘電層62形成中に、第
1ゲート誘電層22の厚さは、第2ゲート誘電層62の
最終厚さに比例して、増加する。第1ゲート誘電層22
の最終的厚さは、約7〜10ナノメートルの範囲内にあ
る。
【0015】第2ゲート誘電層62の形成後に、処理が
続き、図7に示すように、ゲート電極70,71および
ソース/ドレイン領域76,77を形成する。一実施例
では、ポリシリコンやシリコンゲルマニウムなどの導電
材料が第1および第2エーと誘電層22,62上に形成
される。導電材料がパターン化され、エッチされてゲー
ト電極70,71を形成する。ゲート電極70,71の
側壁に沿ってスペーサ74が形成される。適切なマスク
層およびインプラントが用いられて、ゲート70,71
およびそれらの各ソース/ドレイン領域76,77をド
ープする。Pウェル領域にN型ドーパントが用いられ
て、Pチャネルトランジスタを形成する。Nウェル領域
にP型ドーパントが用いられて、Nチャネルトランジス
タを形成する。図7の実施例では、厚い第1ゲート誘電
層22を用いてPチャネルトランジスタが形成され、薄
い第2ゲート誘電層62を用いてNチャネルトランジス
タが形成される。
【0016】一実施例では、ドープされたソース/ドレ
イン領域76,77およびゲート電極70,71の形成
後に、それらの上にシリサイド領域78が形成される。
シリサイド材料は、チタニウム、コバルト、モリブデン
その他を含む。本実施例では、シリサイドは自己整合プ
ロセスを用いて形成される。
【0017】トランジスタ形成に続き、図8に示すよう
に、レベル間誘電層(ILD)82および相互接続体84
が形成される。レベル間誘電層82は代表的には、酸
素、窒素、低誘電定数(low-k)材料その他を含む1又
はそれ以上の層である。本明細書において低誘電定数と
は、約3.5よりも低い誘電定数を意味する。ある実施
例では、低誘電定数層は有機材料を含みうる。さらに、
必要に応じて硬いマスクおよびキャッピング膜(cappin
g films)を用いて、相互接続体84のための開口をパ
ターン化して形成することもできる。
【0018】相互接続体84は二つの部分を含む。バイ
ア(via)部分が比較的狭く、シリサイド領域78に接
触する。トレンチ部分が広く、バイア部分間の接触を可
能にする。故に、トレンチは主としてレベル間誘電層内
部の水平接続を形成し、一方バイアが主として導電層間
の垂直接続を形成する。相互接続84は、アルミニウ
ム、銅その他の導電材料を含む。ドーパントまたは合金
素子を相互接続体に付加して、電気移動抵抗や他の電気
的特性、信頼性特性を改良することができる。代表的に
は、相互接続体開口内に導電材料を形成する前に、相互
接続開口内に接着/バリア膜を形成する。
【0019】相互接続体84の形成に続き、半導体デバ
イス上にパッシベイション層86を形成する。図8には
示していないが、他の電気的接続体が他のシリサイド領
域78に接続されている。さらに、デバイスを実質的に
完成させるために、他のレベル間誘電層および相互接続
れべるを形成することができる。
【0020】本発明の多くの変形実施例が可能である。
例えば、第1または第2ゲート誘電層22,62は、化
学蒸着、物理蒸着、またはこれらの組合せなど、熱酸化
以外の方法で形成することもできる。さらに、もしゲー
ト誘電層22,62が上記方法の組合せを用いて形成さ
れるならば、誘電層の第1部分形成後、誘電層の第2部
分形成前にアニーリング行程を実行できる。ゲート誘電
層22,62はさらに、高誘電定数(high-k)材料を含
みうる。本明細書において、高誘電定数(high-k)材料
とは、約4.2以上の誘電定数を持つ材料である。例え
ば、第1または第2ゲート誘電層22,62はシリコン
ナイトライド、シリコンオキシナイトライド、タンタル
ペントオキサイド、バリウムストロンチウムチタネイ
ト、鉛ジルコネイトチタネイトその他を含みうる。変形
的には、第1または第2ゲート誘電層は、窒化されたり
あるいは誘電膜内に窒素を含んだりすることができる。
実施例ではN+およびP+ゲート電極を示したが、ゲー
ト電極はデバイスの所望電気特性に従って全てN+また
はP+であってもよい。ゲート電極はまた変形的には、
チタニウム、チタニウムナイトライド、タンタル、タン
タルナイトライド、タングステン、タングステンナイト
ライドその他の金属または金属含有材料で形成されても
良い。さらに、トランジスタは、P型基板、N型基板内
部に形成されても良いし、Nチャネルトランジスタまた
はPチャネルトランジスタのどちらかだけでも良い。
【0021】本実施例では自己整合シリサイドプロセス
が用いられたが、非自己整合プロセスが用いられても良
い。例えば、シリコンゲート電極層を付着した後に、ド
ーピング行程を実施してゲート電極層をドープし、続い
てタングステンを付着させアニールして、タングステン
シリサイドを形成することができる。タングステンシリ
サイド形成後に、ドープされたシリコンとタングステン
シリサイドとの組合せをパターン化しエッチして、ゲー
ト電極を形成することができる。さらに、ゲート電極ス
タックは、自己整合接触体を作るための絶縁体としてま
たは反射防止層として用いるためにシリコンナイトライ
ドまたはシリコン豊富な層を含みうる。
【0022】本発明の実施例に従って、従来技術を越え
る多数の利点が見いだされる。第1ゲート誘電層の上方
部分が事前清浄行程中に比較的エッチされないので、第
1ゲート電極層は形成されたままの状態で保持される。
本発明の実施例では、従来技術で遭遇したような厚さ変
動、ピンホール、表面損傷の傾向がない。従って、全体
的誘電膜品質が改良される。図9および図10は、その
ような改良点を示している。
【0023】図9は、図8に示すゲート誘電層22とし
て用いた3種の異なる酸化層についての累積厚さ分布百
分率をプロットしたものである。線9-1は、上述した
従来方法を用いて処理したゲート誘電層の厚さ分布を示
す。線9-2は、本発明の実施例に従って処理したゲー
ト誘電層の厚さ分布を示す。線9-3は、形成後にHF
に晒さない単一ゲート誘電層であるコントロールサンプ
ルの厚さ分布を示す。このプロットによれば、コントロ
ールサンプルおよび実施例プロセスについての厚さ分布
標準偏差はともに大体0.03ナノメートルであること
が分かる。これは、標準偏差が大体0.12ナノメート
ルである従来方法と対照的である。従って、従来方法の
ゲート誘電層の厚さ標準偏差はコントロールや本実施例
に較べて約3〜4倍である。
【0024】図10は、3種の異なる層について、累積
百分率対ブレイクダウン分布(QBD)を示すプロット
図である。QBDはゲート誘電完全性の指標である。線
10-1は、上述した従来方法を用いて処理したゲート
誘電層のQBD分布を示す。線10-2は、本発明の実
施例に従って処理したゲート誘電層のQBD分布を示
す。線10-3は、上記コントロールサンプルのQBD
分布を示す。線10-2は、実施例方法についての平均
QBDが大体11クーロン毎平方センチメートルである
ことを示す。これは、平均大体3.5クーロン毎平方セ
ンチメートルのQBDを有する従来方法と対照的であ
る。このプロットによれば、事前清浄中にHFを減少す
ることにより、QBDが従来方法より約3〜4のファク
ターだけ大きくなることが分かる。
【0025】さらに、第2ゲート誘電層事前清浄中にH
Fをなくすことにより、従来のHF事前清浄行程で起こ
ったようなフィールド分離浸食の程度が減少する。事前
清浄行程中のフィールド分離浸食が少なくなることによ
り、図5に示す事前清浄後のフィールド分離領域14の
表面プロフィールが、図4に示す事前整序羽前のフィー
ルド分離領域14の表面プロフィールに近くなる。フィ
ールド分離の浸食がないと、フィールド分離領域端部付
近のゲート誘電層が薄くならず、信頼性が改良される。
【0026】本発明の実施例の組み込みは比較的簡単で
ある。従来の二重ゲート誘電層プロセスとは異なり、追
加的なマスキング作業を必要としない。さらに、限界的
なプロセスや珍しい材料を使用しない。事前清浄と第2
ゲート誘電層62の形成との間の待ち時間に限定はない
が、約50時間を経過しても著しい悪影響はない。
【図面の簡単な説明】
【図1】本発明の一実施例に係る半導体デバイス形成方
法の一部を示した基板部分の断面図であり、ウェル形成
後の様子を示している。
【図2】図1と同様な図であり、第1ゲート誘電層形成
後を示している。
【図3】図1と同様な図であり、第1ゲート誘電層上に
レジストを形成した後の様子を示している。
【図4】図1と同様な図であり、第1ゲート誘電層の一
部を除去した後の様子を示している。
【図5】図1と同様な図であり、第2ゲート誘電層のた
めの事前清浄行程の様子を示している。
【図6】図1と同様な図であり、第2ゲート誘電層形成
後を示している。
【図7】図1と同様な図であり、基板の一部内に能動成
分を形成した後の様子を示している。
【図8】図1と同様な図であり、実質的に完成したデバ
イスを示している。
【図9】3種の異なるゲート誘電層の厚さの比較を表し
たグラフである。
【図10】3種の異なるゲート誘電層の降伏電荷の分布
を表したグラフである。
【符号の説明】
10,12 半導体デバイス基板 16 第1誘電層 22 第2誘電層 32 レジスト層 62 第3誘電層 42 半導体デバイス基板の一部
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ナバカンタ・ハット アメリカ合衆国テキサス州オースチン、ナ ンバー412、シダーベンド・ドライブ2015 (72)発明者 ポール・ジー・ワイ・ツイ アメリカ合衆国テキサス州オースチン、キ ルゴア・レーン4104 (72)発明者 ダニエル・ティー・ケイ・ハム アメリカ合衆国テキサス州オースチン、ア パートメント1113、サウス・モ−パク5701

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体デバイス形成方法であって:半導
    体デバイス基板上に第1誘電層(16)を形成する行
    程;フッ化水素酸含有溶液を用いて第1誘電層(16)
    の一部を除去する行程;半導体デバイス基板上に第2誘
    電層(22)を形成する行程;レジスト層(32)をパ
    ターン化して、第2誘電層(22)の一部を露出させる
    行程;第2誘電層(22)の一部を除去して、半導体デ
    バイス基板の一部を露出させる行程;レジスト層(3
    2)を除去する行程;フッ素含有溶液を用いずに半導体
    デバイス基板を清浄する行程;ならびに半導体デバイス
    基板上に第3誘電層(62)を形成する行程;から成る
    方法。
  2. 【請求項2】 半導体デバイス形成方法であって:半導
    体デバイス基板上に第1誘電層(22)を形成する行
    程;レジスト層(32)をパターン化して、第1誘電層
    (22)の一部を露出させる行程;第1誘電層(22)
    の一部を除去して、半導体デバイス基板の一部(42)
    を露出させる行程;レジスト層(32)を除去する行
    程;レジスト層(32)の除去後にフッ素含有溶液を用
    いずに半導体デバイス基板を清浄する行程;ならびに半
    導体デバイス基板の清浄後に半導体デバイス基板上に第
    2誘電層(62)を形成する行程;から成る方法。
  3. 【請求項3】 半導体デバイス形成方法であって:半導
    体デバイス基板上に、15ナノメートル以下の厚さを有
    する第1誘電層(22)を形成する行程;レジスト層
    (32)をパターン化して、第1誘電層(22)の一部
    を露出させる行程;第1誘電層(22)の一部を除去し
    て、半導体デバイス基板の一部(42)を露出させる行
    程;レジスト層(32)を除去する行程;レジスト層
    (32)の除去後、第2誘電層(62)の形成前に、フ
    ッ素含有溶液を用いずに半導体デバイス基板を清浄する
    行程であって、第2誘電層(62)の厚さが約8.0ナ
    ノメートル以下であるところの、行程;ならびに半導体
    デバイス基板上に前記第2誘電層(62)を形成する行
    程;から成る方法。
  4. 【請求項4】 半導体デバイス形成方法であって:半導
    体デバイス基板上に、第1ゲート誘電層(22)を形成
    する行程;レジスト層(32)をパターン化して、第1
    ゲート誘電層(22)の一部を露出させる行程;第1ゲ
    ート誘電層(22)の一部を除去して、半導体デバイス
    基板の一部(42)を露出させる行程;レジスト層(3
    2)を除去する行程;レジスト層(32)の除去後、第
    2ゲート誘電層(62)の形成前に、フッ素含有溶液を
    用いずに半導体デバイス基板を清浄する行程であって、
    第2ゲート誘電層(62)の厚さが約4.2ナノメート
    ル以下であるところの、行程;ならびに半導体デバイス
    基板上に前記第2ゲート誘電層(62)を形成する行
    程;から成る方法。
  5. 【請求項5】 半導体デバイス形成方法であって:半導
    体デバイス基板上に、第1ゲート誘電層(22)を形成
    する行程;レジスト層(32)をパターン化して、第1
    ゲート誘電層(22)の一部を露出させる行程;第1ゲ
    ート誘電層(22)の一部を除去して、半導体デバイス
    基板の一部(42)を露出させる行程;レジスト層(3
    2)を除去する行程;レジスト層の除去後、第2ゲート
    誘電層の形成前に、半導体デバイス基板の事前清浄を実
    施する行程であって、当該事前清浄に用いる溶液はフッ
    素含有溶液ではなく、半導体デバイス基板を硫酸および
    過酸化水素の溶液に晒す段階;半導体デバイス基板を水
    酸化アンモニウムおよび過酸化水素の溶液に晒す段階;
    および半導体デバイス基板を塩酸および過酸化水素の溶
    液に晒す段階;を含む事前清浄行程;ならびに半導体デ
    バイス基板上に前記第2ゲート誘電層(62)を形成す
    る行程であって、第1ゲート誘電層(22)の厚さと第
    2ゲート誘電層(62)の厚さが異なる、ところの行
    程;から成る方法。
JP2000038986A 1999-02-22 2000-02-17 半導体デバイス形成方法 Expired - Fee Related JP4409028B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US253875 1999-02-22
US09/253,875 US6261978B1 (en) 1999-02-22 1999-02-22 Process for forming semiconductor device with thick and thin films

Publications (2)

Publication Number Publication Date
JP2000243856A true JP2000243856A (ja) 2000-09-08
JP4409028B2 JP4409028B2 (ja) 2010-02-03

Family

ID=22962062

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000038986A Expired - Fee Related JP4409028B2 (ja) 1999-02-22 2000-02-17 半導体デバイス形成方法

Country Status (3)

Country Link
US (1) US6261978B1 (ja)
JP (1) JP4409028B2 (ja)
KR (1) KR100714661B1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1174919A2 (en) * 2000-07-18 2002-01-23 Chartered Semiconductor Manufacturing, Inc. A method of dual gate processing
JP2005353892A (ja) * 2004-06-11 2005-12-22 Seiko Epson Corp 半導体基板、半導体装置及びその製造方法
US7402530B2 (en) 2002-10-17 2008-07-22 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1005079B1 (en) * 1998-11-26 2012-12-26 STMicroelectronics Srl Process for integrating in a same chip a non-volatile memory and a high-performance logic circuitry
JP2001077118A (ja) * 1999-06-30 2001-03-23 Toshiba Corp 半導体装置およびその製造方法
US6465307B1 (en) * 2001-11-30 2002-10-15 Texas Instruments Incorporated Method for manufacturing an asymmetric I/O transistor
US6689676B1 (en) 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer
US6797622B2 (en) * 2002-07-31 2004-09-28 Intel Corporation Selective etching of polysilicon
US7030024B2 (en) * 2002-08-23 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
EP1503423B1 (en) * 2003-07-31 2010-04-28 STMicroelectronics Srl Method of making a MIS power semiconductor device
KR100541817B1 (ko) 2003-10-14 2006-01-11 삼성전자주식회사 듀얼 게이트 절연막 형성 방법
KR100618815B1 (ko) * 2003-11-12 2006-08-31 삼성전자주식회사 이종의 게이트 절연막을 가지는 반도체 소자 및 그 제조방법
TWI258811B (en) * 2003-11-12 2006-07-21 Samsung Electronics Co Ltd Semiconductor devices having different gate dielectrics and methods for manufacturing the same
US20070023842A1 (en) * 2003-11-12 2007-02-01 Hyung-Suk Jung Semiconductor devices having different gate dielectric layers and methods of manufacturing the same
JP4040602B2 (ja) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 半導体装置
TWI383457B (zh) * 2008-03-20 2013-01-21 Vanguard Int Semiconduct Corp 半導體裝置的製造方法
US8067283B2 (en) * 2009-11-13 2011-11-29 Vanguard International Semiconductor Corporation Semiconductor device fabricating method
US20140372298A1 (en) 2013-06-13 2014-12-18 Research In Motion Limited Communication system with digital wallet having blank user card and related methods
CN115547930B (zh) * 2022-11-29 2023-04-04 绍兴中芯集成电路制造股份有限公司 半导体集成电路及其制备方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3878549A (en) * 1970-10-27 1975-04-15 Shumpei Yamazaki Semiconductor memories
US3699646A (en) 1970-12-28 1972-10-24 Intel Corp Integrated circuit structure and method for making integrated circuit structure
US4808555A (en) 1986-07-10 1989-02-28 Motorola, Inc. Multiple step formation of conductive material layers
US5334861A (en) 1992-05-19 1994-08-02 Motorola Inc. Semiconductor memory cell
US5316981A (en) * 1992-10-09 1994-05-31 Advanced Micro Devices, Inc. Method for achieving a high quality thin oxide using a sacrificial oxide anneal
US5316965A (en) * 1993-07-29 1994-05-31 Digital Equipment Corporation Method of decreasing the field oxide etch rate in isolation technology
US5496778A (en) * 1994-01-07 1996-03-05 Startec Ventures, Inc. Point-of-use ammonia purification for electronic component manufacture
JPH08126873A (ja) * 1994-10-28 1996-05-21 Nec Corp 電子部品等の洗浄方法及び装置
US5998305A (en) * 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5953599A (en) * 1997-06-12 1999-09-14 National Semiconductor Corporation Method for forming low-voltage CMOS transistors with a thin layer of gate oxide and high-voltage CMOS transistors with a thick layer of gate oxide
AU750612B2 (en) * 1997-10-22 2002-07-25 Texas Instruments Incorporated Integrated circuit having both low voltage and high voltage mos transistors and method of making
US5960289A (en) * 1998-06-22 1999-09-28 Motorola, Inc. Method for making a dual-thickness gate oxide layer using a nitride/oxide composite region

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1174919A2 (en) * 2000-07-18 2002-01-23 Chartered Semiconductor Manufacturing, Inc. A method of dual gate processing
EP1174919A3 (en) * 2000-07-18 2004-12-15 Chartered Semiconductor Manufacturing Pte Ltd. A method of dual gate processing
US7402530B2 (en) 2002-10-17 2008-07-22 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
US8129287B2 (en) 2002-10-17 2012-03-06 Renesas Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
JP2005353892A (ja) * 2004-06-11 2005-12-22 Seiko Epson Corp 半導体基板、半導体装置及びその製造方法

Also Published As

Publication number Publication date
KR20000062578A (ko) 2000-10-25
KR100714661B1 (ko) 2007-05-07
JP4409028B2 (ja) 2010-02-03
US6261978B1 (en) 2001-07-17

Similar Documents

Publication Publication Date Title
JP4409028B2 (ja) 半導体デバイス形成方法
US7271450B2 (en) Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US6171911B1 (en) Method for forming dual gate oxides on integrated circuits with advanced logic devices
US6261934B1 (en) Dry etch process for small-geometry metal gates over thin gate dielectric
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US20060054597A1 (en) Wet etchant composition and method for etching HfO2 and ZrO2
CN110610989A (zh) 半导体结构形成方法
US7160771B2 (en) Forming gate oxides having multiple thicknesses
US6586293B1 (en) Semiconductor device and method of manufacturing the same
JP2001203349A (ja) 半導体装置およびその製造方法
US8557651B2 (en) Method of manufacturing a semiconductor device using an etchant
JP2007036116A (ja) 半導体装置の製造方法
US8445381B2 (en) Oxide-nitride stack gate dielectric
JP2004165555A (ja) 半導体装置の製造方法
JP2007123548A (ja) 半導体装置の製造方法
US6828187B1 (en) Method for uniform reactive ion etching of dual pre-doped polysilicon regions
JP4082280B2 (ja) 半導体装置およびその製造方法
US20150228778A1 (en) Semiconductor device having structure capable of suppressing oxygen diffusion and method of manufacturing the same
US20230047598A1 (en) Semiconductor devices and methods of manufacture
JP2006073704A (ja) 半導体装置の製造方法
JP2005123276A (ja) 半導体装置およびその製造方法
KR100565450B1 (ko) 반도체 소자의 제조 방법
KR100627560B1 (ko) 반도체 소자의 웨이퍼 처리방법
JP2003297829A (ja) 半導体装置の製造方法及び半導体装置
WO2005031833A1 (en) Oxide-nitride stack gate dielectric

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040927

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081028

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090302

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090327

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091013

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091111

R150 Certificate of patent or registration of utility model

Ref document number: 4409028

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121120

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131120

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees