JP2000151284A - 直接デジタルシンセサイザ - Google Patents

直接デジタルシンセサイザ

Info

Publication number
JP2000151284A
JP2000151284A JP11307917A JP30791799A JP2000151284A JP 2000151284 A JP2000151284 A JP 2000151284A JP 11307917 A JP11307917 A JP 11307917A JP 30791799 A JP30791799 A JP 30791799A JP 2000151284 A JP2000151284 A JP 2000151284A
Authority
JP
Japan
Prior art keywords
digital
signal
counter
output
digital synthesizer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11307917A
Other languages
English (en)
Other versions
JP3413137B2 (ja
Inventor
Robert Everest Johnson
エヴェレスト ジョンソン ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2000151284A publication Critical patent/JP2000151284A/ja
Application granted granted Critical
Publication of JP3413137B2 publication Critical patent/JP3413137B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/22Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using more than one loop
    • H03L7/23Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using more than one loop with pulse counters or frequency dividers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/0321Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Digital Transmission Methods That Use Modulated Carrier Waves (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

(57)【要約】 【課題】 本発明は、直接デジタルシンセサイザ(DD
S)に関する。 【解決手段】本発明によるデジタルシンセサイザ(DD
S)は、正弦波などの信号の振幅を表す値を格納するメ
モリ、このメモリからの出力をアナログ信号に変換する
ためのデジタル/アナログコンバータ、および所定の固
定増分にてカウントするカウンタを備える。これは、高
い周波数にて動作し、非常に高精度の周波数波形を生成
する。このデジタルシンセサイザには、多くの用途があ
り、これには、入力無線周波数から情報を抽出するため
の高精度信号の生成、低コストのクロックからの高精度
周波数の生成の他、FSK変調器として用いて、複数の周
波数を持つ信号の間の選択を、位相の不連続性を伴うこ
となく、行なうことなどが含まれる。加えて、このデジ
タルシンセサイザは、8ビットメモリと組み合わせて、
デジタル/アナログコンバータへの10ビット入力を生
成するために用いることもできる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、改良された直接デ
ジタルシンセサイザ(DDS)に関する。
【0002】
【従来の技術】直接デジタル合成(DDS)は、周期波形
を生成するために用いられる電子回路技術である。この
回路においては、直接デジタルシンセサイザ(direct d
igitalsysnthesizer、DDS)にて、デジタルデータ流が
生成され、これが、デジタル/アナログコンバータ(di
gital-analog converter、DAC)にてアナログ波形に変
換される。直接デジタルシンセサイザ(DDS)は、二進
アキュムレータ(典型的には24ビット以上)を高周波
(例えば、100 Mhz以上)にてクロッキングすることに
より動作する。
【0003】アキュムレータは、本質的には、一つの入
力と一つの出力を備えた加算器回路である。各クロック
信号において、入力値(制御値)が現在の出力値に加算
され、アキュムレータの出力は、新たな合計値を取る。
この入力は、通常、制御ワードと呼ばれ、カウンタ増分
の大きさを指定する。この制御ワードが250の場合は、
アキュムレータは、0、250、500、750、1000...の順番
で、ロールオーバするまでカウントと、これを反復す
る。
【0004】図1は、従来の技術による直接デジタルシ
ンセサイザ(DDS)1を示す。値“N”(これは本質的
に可変な制御ワードである)が、マイクロプロセッサ
(μP)3から制御レジスタ2にロードされる。次に、
例えば250なる可変値を持つ制御ワード“N”がアキュ
ムレータ4に入力される。アキュムレータ4は、現在の
カウント値Aを、前のカウント値Bに加え、結合された
出力、すなわち、合計出力を検索テーブル(l.U.T)6
に供給する。検索テーブル(l.U.T)6は、出力を、デ
ジタル/アナログコンバータ(DAC)8に供給する。こ
の直接デジタルシンセサイザ1の動作は、以下の通りで
ある。
【0005】検索テーブル6は、現在のカウント値Aと
前のカウント値Bから成る累積出力を受信し、合成信
号、例えば、正弦波信号の規模(振幅)を表す値を格納
する。アキュムレータ4が、そのカウント範囲を次第に
進むことに応答して、検索テーブル6は、正弦波あるい
は他の波形のデジタル表現を出力する。検索テーブル6
からの出力は、DAC8に入力される。DAC8は、制御ワー
ドの規模をアキュムレータ4の最大カウント(例えば、
24ビットカウンタの場合は、224にクロック周波数を
乗じた値)にて割ることで確立される周波数にてアナロ
グ波形を出力する。こうして、制御ワード“N”が、25
0なる値を持ち、24ビットアキュム レータが、60MHz
なるクロック速度にてクロックされた場合は、出力信号
の周波数は、894.1 Hzとなる。一方、制御ワード“N”
が、251なる値を持つ場合は、出力信号の周波数は、89
7.6 Hzとなる。こうして、直接デジタルシンセサイザ1
は、単一の高周波クロックから幅広いレンジの高精度に
制御された周波数を持つ周期アナログ信号を生成する。
【0006】効率の良いDDS(直接デジタルシンセサイ
ザ)を形成するためには、回路の速度、複雑さ、および
機能の間の互いに衝突する要件を上手にバランスさせる
必要がある。アキュムレータベースのDDSでは、任意の
数を現在の入力に加えることができるために、汎用性を
有する機能を実現することができる。ただし、この汎用
性は、反面において、この回路を、低速で、複雑にす
る。DDS1の潜在的な用途の範囲を拡大するためには動
作周波数を上げる必要がある。従来は、これを達成する
ために、パイプライン(並列接続された)加算器回路
や、コストのより高いデジタル論理過程、例えば、エミ
ッタ結合デバイスに基づく回路が用いられた。パイプラ
イン加算器回路では、制御ワードを現在の値に加える速
度は向上するが、回路の複雑さはさらに増し、コストも
高くなる。
【0007】以下では、アキュムレータ4などの汎用ア
キュムレータを実現するために要求されるデジタル論理
式について、Cypress Inc.WARP2 VHDL(very high spee
d integrated circuit Hardware Descriptor Languag
e:高速集積回路ハードウエア記述言語)コンパイラ、V
ersion 4.2を用いて説明する。このコンパイラによっ
て、24ビットアキュムレータに対する必要な論理式が
生成され、こうして生成された24ビット入力値N[23:
0]が、クロック信号の上昇エッジにおいて、現在の入力
D[23:0]に加えられる。以下のコードは、24ビットア
キュムレータを指定(合成)するために必要なVHDL命令
を示す。 −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; entity countby_N is port ( clock: in std_logic; N: in std_logic_vector (23 downto 0); D: buffer std_logic_vector (23 downto 0); end countby_N; architecture DDS of countby_N is begin accumulator: process (clock) begin if (clock' event and clock = '1') then D <= D+N; end if; end process accumulator; end DDS. −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−
【0008】上述のコードを用いることで、VHDLコンパ
イラは、24ビットアキュムレータを合成する。添付の
付録Aには、こうして得られる24個の出力D[23:0]の
式が示される。Cypress Incorporated Programmable Lo
gic(CIPL)デバイスに供給されることを意図される結
果としての論理式は、128個のマクロセルと、640
個の積項を含む。マクロセルと積項は、CPLD(complex
programmable logic device:複雑プログラマブル論理
デバイス)の複雑さを記述するために一般的に用いられ
る尺度である。回路が高速が得られるように最適化され
た場合は、これら128個のマクロセルの内の55個
と、640個の一意の積項の内の210個が必要とな
り、最大クロック速度は、52.6 MHzとなる。他方、回路
エリアが最小となるように最適化された場合は、128
個のマクロセルの内の55個と、640個の一意の積項
の内の165個が必要となり、最高クロック速度は、1
4.5 MHzとなる。
【0009】
【発明が解決しようとする課題】このように、アキュム
レータベースの直接デジタルシンセサイザ(DDS)で
は、速度あるいはサイズに対して最適化された場合で
も、まだ、低速で、複雑である。さらに、速度に対して
最適化された場合は、極端に複雑となり、反対に、サイ
ズに対して最適化された場合は、極端に低速となる。こ
のために、より優れたDDSが必要とされている。
【0010】
【課題を解決するための手段】本発明による改良された
デジタルシンセサイザは、所定の固定増分にてカウント
する加算器(カウント・バイ・Cカウンタ)を利用する
ことで、要求されるデジタル回路を簡素化する。このカ
ウンタとしては、好ましくは、不揮発性の再構成可能な
CPLD(complex programmable logic device)ICが用い
られる。デジタル回路構成は、要求される増分でのみカ
ウントし、これがCPLDにロードされるように設計され
る。本発明によると、このような指定される増分のカウ
ンタを用いることで、これに匹敵する汎用アキュムレー
タを用いる直接デジタルシンセサイザ(DDS)と比較し
て、かなり高い周波数にて動作する直接デジタルシンセ
サイザ(DDS)が得られる。
【0011】
【発明の実施の形態】本発明の特徴や様々な長所が、以
下の説明、特許請求の範囲、および付録の図面を参照す
ることで一層明らかになるものと期待されるが、図面
中、同一の参照符号は同一の要素を示す。
【0012】本発明は、図2のデジタルシンセサイザ1
8に関し、好ましくは、非常に高い周波数で動作するこ
とができる直接デジタルシンセサイザに関する。図2に
示すように、デジタルシンセサイザ18は、クロック入
力を受信すると、これに応答して、所定の固定増分
“C”(ここで、“C”は、整数)にてカウントするカ
ウンタ10;デジタル波形値を格納し、カウンタ10か
らの入力を受信すると、これに応答して、デジタル波形
値を出力するメモリ(L.U.T)12、例えば、PROM(pro
grammable read only memory:プログラマブル読出専用
メモリ);およびメモリ12から出力されるデジタル波
形値をアナログ波形に変換するデジタル/アナログコン
バータ(D.A.C)14を備える。
【0013】カウンタ10は、好ましくは、不揮発性の
再構成可能なCPLD (complex programmable logic devi
ce:複雑プログラマブル論理デバイス)ICとされる。デ
ジタルシンセサイザ18のカウンタ10の回路コンフィ
ギュレーションは、好ましくは、直接デジタルシンセサ
イザ18の外側に配置され、所定の固定増分“C”にて
増分するプログラミングデバイス11にてロードされ
る。このカウンタ10は、汎用加算器より非常に高い周
波数にて動作する。
【0014】以下では、図2に示すデジタルシンセサイ
ザ18の長所について説明する。ここでの説明の目的で
は、24−ビットカウンタ10に対する論理式を生成す
るために、Cypress Inc.WARP 2 VHDLコンパイラ,Versio
n 4.2が用いられ、カウンティングの際の固定増分とし
ては、一例として、13,981,013(十進)なる固定増分が
用いられる。 ただし、説明のために用いられるこの特
定の固定増分は、本発明をいかようにも制約するもので
なく、任意の固定増分を用いることができる。さらに、
カウンタ10は、ここでは、24ビットカウンタとして
説明されるが、これも、単に、一例であり、任意の固定
増分にてカウントする任意の適当なサイズのカウンタを
用いることができる。
【0015】肝心なのは、以下のコードのみである: −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−カウ
ント_バイ_Cは、固定数Cにてカウントする24ビットカウントを表す。libr
ary ieee;use ieee.std_logic_1164.all;use work.std_arith.all;entity co
untby_C is port (clock: in std_logic;D: buffer std_logic_vecto
r (23 downto 0));constant C: std_logic_ vector (23 downto 0): =b" 110
101010101010101010101;-- 110101010101010101010101 (binary) equals 13,98
1,013decimal.end count by_C;architecture DDS of countby_C isbeginaccum
ulator: process (clock)beginif (clock'event and clock = '1') thenD <= D+
C;end if;end process accumulator;end DDS.−−−−−−−−−−−−−−−
−−−−−−−−−−−−−−−−−−−
【0016】VHDLコンパイラは、上述のコードを用い
て、24ビットカウント・バイ・Cカウンタ10を合成
し、カウンタ10は、13,981,013なる増分にてカウント
する。この増分値は、汎用アキュムレータに対する式と
比較する目的で、24ビット出力に対する最も複雑な論
理式を生成するように、従って、“最悪な場合”を表す
ように決定された。この最悪の場合のC値は、コンピュ
ータプログラムにてCの全ての可能な24ビット値を試
すことで決定された。D[23:0]に対する式を付録Aに示
す。これら論理式は、デジタルシンセサイザ18に、カ
ウント・バイ・Cカウンタ10を利用することの長所、す
なわち、シンセサイザ回路が、サイズと速度の面でいか
に改善されるかを示す。結果としての論理は、CIPL(CP
LD)デバイスに用いることを意図される。以下では、用
いられるこれら資源の要約を示す。
【0017】このC=13,981,013のケースでは、コンパ
イラは、以下の結果を与え: 要求個数 最大(利用可能) 用いられるマクロセル 24 128 一意の積項 155 640 最大クロック速度(Mhz) 125.0
【0018】これら結果は、高速化のために最適化され
るか、回路エリアの最小化のために最適化されるかに関
係なく、同一であった。
【0019】さらに、カウント・バイ・Cカウンタ10 を
利用する設計では、従来のアキュムレータ4の場合より
も、要求される入力ピンの個数が少なくて済み、このた
め、より小さく、安価で、かつ、高速なCPLDに組み込む
ことができ、しかも、最大クロック速度は、143.0 MHhz
に達する。
【0020】以下では、カウント・バイ・Cカウンタ10
を用いるアーキテクチャでは、なぜ速度が改善されるか
の論理的な根拠について説明する。以下のような2個の
二進数が加算されるものと想定すると: 合計内の“1”から“0”、あるいは“0”から“1”
に、変化する、すなわち、“トグリング(toggling)”
するビットは、加算されている両方の数のより下位の全
てのビットに依存する。つまり、上側の数(01111)の
最も左の位置内の“0”は、上側の数の右から4個の数
(1111)と、第二の数の右から4個の数(0001)の状態
の結果として“1”にトグルする。こうして、汎用アキ
ュムレータ4を用いる回路設計の場合は、最も左のビッ
トに対する論理式は、これら8個のビットの全ての状態
を含むこととなる。
【0021】他方、カウント・バイ・Cカウンタ10の場
合は、ビットがトグルするか否かは、現在の合計のより
下位のビットのみに依存し、上述のC=1のケースで
は、任意のビット位置は、より下位の全てのビットが1
のときにトグルする。このため、カウント・ バイ・Cカウ
ンタ10に対しては、任意のC値と、同一のビット数に
ついて、汎用アキュムレータ4に対するより常に単純な
セットの論理式を見つけることができる。
【0022】(カウント・バイ・Cカウンタ10を用い
て)所定の固定増分にてカウントすることで達成するこ
とができる簡素化のもう一つの例について説明すると、
Cの二進値が複数の後縁(トレーリング)0を含む場合
(例えば、Cが、10進で240、二進で11110000の場
合)は、下位の4ビットに対する式は、これらビットは
出力される合計には影響を与えないために必要とされな
い。こうして、本発明による直接デジタルシンセサイザ
18にカウント・バイ・Cカウンタ10を用いる構成で
は、式の個数を削減でき、結果として、速度の向上とサ
イズの縮小化を達成できる。
【0023】カウント・バイ・C式の生成合計出力の各ビ
ットに対する式はCの値の関数である。付録AおよびB
の論理式に示されるように、これら式は、一般には、以
下の形式を取る: D[x].T=D[#]*D[#]*D[#]*D[#]*D[#]+D[#]*D[#]*D[#] 他の積の項は+D[x-1]である。ここで、D[x]は、任意の
出力ビットを表し、合計出力が24ビットの場合は、x
は、0〜23の値を取る。“#”は、任意のより下位の
ビット位置を表す。“T”は、式の条件が満たされる場
合は、出力ビットが他の二進状態にトグルすることを示
す。“*”は、AND演算子を表し、互いにAND演算を施さ
れたビットにて、いわゆる積項(product term)が形成
される。“+”は、OR演算子を表し、互いにOR演算を施
された積項のリストは、“積の合計(sum of product
s)”の形式を取る。この式は、Bit[x]は、第一のライ
ン内に指定される全てのビットが“1”にセットされて
いる場合、あるいは(OR)第二のライン内に指定される
ビットが“1”にセットされている場合、あるいは(O
R)Bitビット[x-1]が“1”にセットされている場合
にトグルすることを記述する。
【0024】カウント・バイ・Cカウンタ10に対する式
は、以下の2つの規則に従って生成される。 (1)C[X]=1のときは、D[X]は、D[X-1):0]<2x-C[(X-
1):0]を満たす場合にトグルする。 (2)C[X]=0のときは、D[X]は、D[X-1):0]>=2x-C[(X-
1):0]を満たす場合にトグルする。
【0025】Cの値によってセットの式がどように決定
されるかについて、一例として、Cの値として、41な
る値を用いて説明すると、Cのこの値は、二進8ビット
C[7:0]では、00101001として表現される(十進では、3
2+8+1=41)。出力D[7:0]の任意の特定のビット
に対する式は、上の式(1)あるいは(2)のいずれか
を満たす積項の合計(sum of product term)を探索す
ることで求められる。
【0026】このプロセスを、D[7]を用いて説明する
と、“x”は、7なる値を持つ。C[7]は0であるため
に、第二の式(2)が用いられる。このため、出力ビッ
トD[6:0]>=2x-C[6:0]なる条件が満たされるか否を識別
するセットの積項の合計が探索される。27-C[6:0]は8
7、あるいは、二進表記の1010111に等しい。
【0027】第一の積項、式(1)は、1にセットされ
ているDの項(ビット6,4,2,1,0)を含む。次の積項を見
つけるために、右から左に走査され、0にセットされて
いる最も右側のビットが識別され、これが1にセットさ
れる。この時点で、このビットより右側のビットは重要
でなくなるために、xにて置換される。次に、1にセッ
トされているDの項を含む第二の積項(1011xxx)が再び
書き込まれる。このプロセスを反復することで、11xxxx
xが得られるが、これは最終的な積項を与える。 D[7].T=D[6]*D[4]*D[2]*D[1]*D[0]+D[6]*D[4]*D[3]
+D[6]*D[5]
【0028】C[x]=1のときのもう一つのケース、例え
ば、ビットD[x]、x=5に対する式について考えると、こ
の場合は、第一の式(1)のD[4:0]<25-C[4:0]なる条件
が満たされることが必要となる。25-C[4:0]は23に等
しく、このために、D[5]に対する式は、D[4:0]が23、二
進では10111、より小さなことを識別する積項を含む必
要がある。このセットの式を見つけるためには、便宜的
に、ビット[4:0]の二進値が、マイナス(−)23、二進
表記で01001と書き改められ、そう上で、上述と同一の
プロセスによって、1にセットされているビットを含む
Dの項が探索される。次に、最初に0を求めて左に向か
って走査される。次に、この最初の0が1にて置換さ
れ、それより下位のビットは、xにセットされる。この
プロセスの結果として、01001、0101x、011xx、1xxxxな
るシーケンスが得られる。この>=なる不等式に対して
は、式を満たすためにはD項が0であるべきことを示す
ために、各項の前に/が置かれる。 D[5].T=/D[3]*/D[0]+/D[3]*D[1]+/D[2]*D[2]+/D[4] ここに含まれてない全ての項は、0あるいは1のいずれ
かである。
【0029】これら式が、D[4:0]が23より小さなことを
識別することを検証する目的で、積項の合計を満たす全
ての値が、以下のテーブルに示される。テーブルから、
23より小さなD[4:0]の全ての値が、上述の積項の合計に
よって識別されることが分かる。
【0030】
【表1】
【0031】こうして、デジタルシンセサイザ18に、
カウント・バイ・Cカウンタ10を用いた場合は、C内の
最下位のビットセットより下位のビット位置に対する式
は、書き込まれなない。例えば、C=11110000の場合
は、ビットD[3:0](最後の4ビット)に対する式は生成
されない。こうして、用いられる式の数が少なくなり、
速度が向上する。これは、式(1)と(2)の結果とし
て達成される。例えば、C[3]-0、D[3]は、D[2:0]>=23-
C[2:0](これは、D[2:0]>=8に相当する)なる条件が満
たされた場合に、トグルする。加えて、D[4](あるいは
C内にセットされている最初のビットセットの位置のい
ずれか)に対する式は、D[4].T=1を読むことを必要とさ
れ、このために、D[4]は、常に、トグルする。C[4]=1,D
[4]は、D[D:0]<24-C[3:0](これは、D[3:0]<16に相当
する)なる条件が満たされた場合に、トグルする。この
ことは、常に、そうである。付録AおよびB内の式を生
成するために用いられるWarp2コンパイラは、この式をD
[4].D=D/D[4]と書き表すが、これも同一の意味を有す
る。
【0032】カウント・バイ・Cシンセサイザの用途もう
一つの好ましい実施例においては、カウント・バイ・Cタ
イプのデジタルシンセサイザ18は、入力信号から所定
の情報を抽出するために用いられる。この用途では、図
3に示すように、クロック16により、デジタルシンセ
サイザ18に入力するためのクロック周波数が生成され
る。デジタルシンセサイザ18は、低域フィルタ20に
接続され、これは、ミキサ22に供給するための高精度
の周波数信号を生成する。ミキサ22は、低域フィルタ
20からの信号を入力無線周波数(RF)信号と混合する
ことで、例えば、入力RF信号から、所望の情報、例え
ば、入力RF信号の所定の部分を抽出する。次に、これ
を、低域フィルタ24に通すことで、所望の信号が抽出
される。図3に示す装置の動作を以下に説明する。
【0033】クロック16、デジタルシンセサイザ1
8、および低域フィルタ20を用いて高精度の信号、例
えば、49.97MHzの信号が生成され、ミキサ22に出力さ
れる。ミキサ22にて、この信号を、入力RF信号と混合
することで、和と差の周波数、並びに他の周波数副産物
を含む信号スペクトルが生成される。例えば、RF信号入
力としての50MHzの搬送波上に、30KHz帯域幅の信号が存
在する場合、この信号と高精密の49.97MHzの信号を混合
することで、所定あるいは所望の信号(50MHz〜30KHz)
が抽出される。次に、これを、低域フィルタ24に通す
ことで、この出力から、フィルタリングされた元のある
いは所望の30のKHz信号が回復される。この所望の30KHz
の信号は、好ましくは、入力RF信号の搬送波内に具現
(実現)される。デジタルシンセサイザ18を用いてミ
キシング周波数を設定することで、ミキサ22を、所望
の信号を広いレンジの周波数に渡って抽出できるよう
に、同調することが可能となる。
【0034】図4に示すもう一つの好ましい実施例にお
いては、本発明によるカウント・バイ・Cタイプのデジタ
ルシンセサイザ18は、高精度の制御下で、低周波のプ
ログラマブルクロックを生成するために用いられる。こ
の用途においては、外部源、例えば、発振器要素26か
ら、高周波のクロック源が入力される。カウント・バイ・C
タイプのデジタルシンセサイザ18は、このクロック信
号を受信し、カウンタ10の出力の最上位ビットに接続
された出力信号を生成する。
【0035】この構成の長所を解説する目的で、あまり
精度の高くない外部クロックから、高精度の(例えば)
333Hzのクロックを生成することを想定する。例えば、
クロック源(発振器要素26)は、公称上は、20MHzで
あるが、実際には、ある瞬間における測定値は20.2MHz
を示すような状況下で、333Hzの高精度出力クロックを
生成することを想定する。デジタルシンセサイザ18の
36ビットカウント・バイ・C実現(36ビットカウント・バイ
・Cカウンタ10)を用いた場合、Cは、(236*333)/2
0200000=1,132,851として計算される。
【0036】コンフィギュレーションファイルを生成す
るプロセスは、WARP2開発ソフトウエアを通じて自動化
されているために、要求されるCPLDプログラミングファ
イルを、あまり正確でない外部クロックから所望の高精
度な周波数を生成するために要求されるCの任意の特定
の値に対して、オンデマンドにて、生成することができ
る。カウント・バイ・Cデジタルシンセサイザ18のCPLD
をクロックするために高精度な発振器が用いられる場合
は、出力周波数を指定するファイル名の付いた複数のCP
LDコンフィギュレーションファイルを格納しておき、こ
れを、必要に応じて、所望の出力周波数を得るためにロ
ードすることができる。
【0037】さらにもう一つの好ましい実施例において
は、本発明によるデジタルシンセサイザ18は、FSK(f
requency shift keying)変調器32の要素として用い
られる。これが図5に示される。
【0038】より詳細には、FSK変調器32は、クロッ
ク周波数信号を生成するためのクロック30と、クロッ
ク周波数信号を受信し、自身のカウント・バイ・Cカウン
タ10をクロックするためのデジタルシンセサイザ18
を備える。
【0039】デジタルシンセサイザ18は、さらに、図
5に示すように、入力周波数選択信号を受信する。この
周波数選択信号は、2個の別個の信号の一つ(あるいは
複数の別個の信号の一つ)、例えば、相対的に高周波あ
るいは相対的に低周波の信号の一つであり、これらのお
のおのは、カウント・バイ・Cカウンタ10を、2つの所
定の固定増分C1あるいはC2の一つ(あるいは複数の
所定の固定の別個の増分の一つ)にてカウントする。こ
の結果として、デジタルシンセサイザ18は、2個(あ
るいは複数)の別個の周波数の一つを持つ出力信号を生
成し、これがFSK変調器32の出力として選択される。
【0040】カウント・バイ・Cタイプのデジタルシンセ
サイザ18を用いることで、2個(あるいは複数)の周
波数の信号の間で滑らかに遷移する出力を供給するFSK
変調器32を実現することが可能となる。換言すれば、
デジタルシンセサイザ18を用いることで、FSK変調器
32は、2個あるいはそれ以上の周波数の信号の間で、
位相の不連続性を伴うことなしに、容易に遷移すること
が可能となる。
【0041】デジタルシンセサイザ18を用いること
で、VHDLコードの変換が容易になり、これを用いること
で、2個(あるいは複数)の周波数の一つを持つ信号
を、入力周波数選択信号の制御下で、FSK変調器32か
ら出力することが可能になる。以下に示すVHDLコードの
セクションは、(例えば)100 MHzのクロックを利用し
て(例えば)2つの周波数の間で交替するFSK変調器3
2の出力信号を生成するために、いかにこれが達成され
るかを示す。ここでは、一例として、一方の固定増分C
1は、167772、すなわち、224/10とされ、他方の固
定増分C2は、83886、すなわち、224/20とされ
る: −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; entity countby_C is port ( clock: in std_logic; F_select: in std_logic; count_out: buffer std_logic_vector (23 downto 0) constant C1: integer: =167772; -- Gives clock/10 output frequency. constant C2: integer: =083886; -- Gives clock/20 output frequency. end countby_C; architecture FSK of countby_C is begin accumulator: process (clock) begin if (clock'event and clock = '1') then if (F_select = '0') then count_out <= count_out + C1; else count_out <= count_out + C2; end if; end if; end process accumulator; end FSK; −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−
【0042】デジタルシンセサイザ18をFSK変調器3
2の要素として用いた場合は、二つの周波数の信号間、
あるいは複数の周波数の信号間での出力の選択は、位相
の不連続性を伴うことなく達成される。図6に示すよう
に、FSK変調器32によって、2つの周波数の間で選択
された出力信号に基づいて生成される出力信号では、位
相の不連続性は回避される。
【0043】最後に、図7に示す本発明のもう一つの好
ましい実施例においては、本発明のデジタルシンセサイ
ザ18は、単一の8ビットメモリ、例えば、PROM(prog
rammable read only memory:プログラマブル読出専用
メモリ)44と、10ビットDAC(デジタル/アナログ
コンバータ)46と共に用いられる。図7に示すような
デジタルシンセサイザを形成するためには、例えば、並
列ポート40Aを備えるマイクロプロセッサ40が、カ
ウント・バイ・Cカウンタ10として用いられるPLD(prog
rammable logic device:プログラマブル論理デバイ
ス)42に接続される。PLD42は、PROM44と、DAC4
6の両方に接続され、DAC46は、PROM44から入力さ
れる8ビットと、PLD42から入力される2ビットを受
信する。共通のクロック、例えば、70 MHzのクロック
が、カウント・バイ・Cカウンタ10としてのPLD42、PR
OM44、およびDAC46のおのおのに対して用いられ
る。以下に、図7に示すPLD42、PROM44、およびDAC
46を用いるデジタルシンセサイザの動作について説明
する。
【0044】従来のデジタルシンセサイザでは、PROM4
4などのメモリに生成されるべき波形の全ての成分が格
納され、こうして、(例えば)正弦波のデジタル表現が
メモリから出力できるようにされる。ただし、図7に示
す要素PLD42、PROM44、およびDAC46を用いるデジ
タルシンセサイザにおいては、PROM44には、本質的
に、既存のPROM、例えば、8ビットデバイスが用いられ
る。こうして、PROM44は、正弦波の波形の8個の最下
位ビット(LSB)のみを格納する。正弦波のこれら8個
の最下位(LSB)ビットの一例してのアナログ表現を図
8に示す。
【0045】DAC46は、多くの用途において適当な解
像度を得るためには10ビットDACが必要なために、1
0ビットデバイスとされる。このため、10ビットDAC
46によって用いられる10ビットを供給するために、
通常は、1つのPROM44では8ビットしか格納できない
ために、2個の8ビットPROMが必要となる。
【0046】ただし、本発明においては、PLD42が用
いられ、これが、後に説明するように、VHDLコードを用
いるようにプログラムされた場合は、PLD42からの2
ビットの出力と、PROM44からの8ビットの出力とを組
み合わせて用いることで、10ビットが得られ、これを
DAC46に供給することで、一つの完全な正弦波が得ら
れる。PROM44は、正弦波の8個の最下位ビット(LS
B)、つまり、Sine_out[7:0]を出力し、PLD42は、2
個の最上位ビット(MSB)、つまり、ビットSine_out[9:
8]を供給する。正弦波のこの2個の最上位ビット(MS
B)は、0°、30°、150°、180°、210°、および330°
なる角度値にて変化する。PLD42は、それがどの角度
レンジにて出力しているかを、PROM44に出力されるア
ドレスを評価することで計算し、これに基づいて、ビッ
トsine_out[9:8]をセットする。PROM44は、図8に示
すようなパターンを格納し、最上位ビット(MSB)が因
数分解される。
【0047】PLD42内に格納されるVHDLコードは、Sin
e_out(9)およびSine_out(8)を生成するために以下のよ
うに修正される: −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− library ieee; use ieee.std_logic_1164.all; use work.std_arith.all; 25entity dds is port ( clock: in std_logic; sineout: out std_logic_vector(9 downto 8); EPROM_addr: buffer std_logic_vector(10 downto 0) ); 30constant C: integer: = 10000; end dds; architecture Countby_C of dds is begin signal count: std_logic_vector (21 downto 0); −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 5- accumulator: process (reset,clock) begin if (clock'event and clock = '1') then count <= count + C; 0 end if; end process accumulator; −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− calc_sine_msb: process (count) begin if (count 10 downto 0) <= 170) then sineout <= "10"; elsif (count(10 downto 0)>170) and (count(10 downto 0)<=853) then sineout <="11"; elsif (count(10 downto 0)>853) and (count(10 downto 0)<=1024) then sineout <= "10"; eisif (count(10 downto 0)>1024) and (count(10 downto 0)<=1195) then sineout <= "01"; elsif (count(10 downto 0)>1195) and (count(10 downto 0)<=1876) then sineout <= "00"; else sineout <="01"; end if; end process calc_sice_msb; EPROM addr(10 downto 0) <= count(21 downto 11); end Countby C; −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−−
【0048】従来と同様に、このVHDLコードは、(例え
ば)WARP2 VHDLコンパイラによってCPLDコンフィギュレ
ーションファイルに翻訳され、これが(カウント・バイ・
Cカウンタ10に対する式をダウンロードする一例とし
て)PLD42にロードされる。
【0049】図7に示す回路では、単一の8ビットPROM
44を用いるのみで済み、このため、コストを大幅に削
減することができる。さらに、この手法(LPD42)
は、10ビットDAC46と共に用いることのみに限定さ
れるものではなく、例えば、11あるいは12ビットDA
Cと共に用い、3ビットあるいは4ビットのアドレスビ
ットを生成することもできる。好ましくは、クロック
(CLK)は、70 Mhzクロックとされ、PROM44は、20 ns
PROMとされ、DAC46は、100 Mhz DACとされ、PLD42
は、そのプログラミングをパーソナルコンピュータ(図
示せず)のマイクロプロセッサ40から受ける複雑(co
mplex)PLD(CPLD)とされる。
【0050】以上、本発明について、本発明のデジタル
シンセサイザの様々な用途との関連で、詳細に説明した
が、本発明は、本発明のデジタルシンセサイザによって
生成される高精度の周波数を必要とする任意のデバイス
に適用できることに注意する。当業者においては明らか
なように、本発明のデジタルシンセサイザは、他の用途
にも等しく適用できる。従って、本発明の精神および範
囲は、上に説明の様々な実施例に限定されるものではな
い。本発明は、様々な修正を加えることも可能であり、
これら修正も、本発明の精神および範囲から逸脱すると
解されるべきではなく、当業者において明白なこれら全
ての修正が特許請求の範囲に入るものと解されるべきで
ある。
【図面の簡単な説明】
【図1】従来の技術による直接デジタルシンセサイザを
示す図である。
【図2】本発明の一つの好ましい実施例によるカウント
・バイ・Cカウンタを用いる直接デジタルシンセサイザ
を示す図である。
【図3】本発明のもう一つの好ましい実施例による信号
抽出回路を示す図である。
【図4】本発明のもう一つの好ましい実施例によるクロ
ック生成回路を示す図である。
【図5】直接デジタルシンセサイザがFSK変調器の要素
として用いられる本発明のもう一つの好ましい実施例を
示す図である。
【図6】図5のFSK変調器の合成された波形出力を示す
図である。
【図7】本発明のもう一つの好ましい実施例による8ビ
ットPROMと10ビットDACを用いるデジタルシンセサイ
ザを示す図である。
【図8】図7の8ビットPROMに格納される情報を示す図
である。
【図9】図7の10ビットDACの有効出力を示す図であ
る。
【符号の説明】
10 カウント・バイ・Cカウンタ 11 プログラミングデバイス 12 メモリ(L.U.T.) 14 デジタル/アナログコンバータ(DAC) 16 クロック 18 デジタルシンセサイザ 20、24 低域フィルタ 22 ミキサ 26 クロック周波数(発振器要素) 30 クロック 32 FSK変調器 42 PLD 44 PROM 46 10ビットDAC

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 所定の固定増分にてカウントするように
    適合されたカウンタ;デジタル波形値を格納し、前記カ
    ウンタからの入力の受信に応答してデジタル波形値を出
    力するように適合されたメモリ;および前記メモリから
    出力されるデジタル波形値をアナログ波形値に変換する
    ように適合されたデジタル/アナログコンバータ(DA
    C)を備えることを特徴とするデジタルシンセサイザ。
  2. 【請求項2】 前記カウンタが複合プログラマブル論理
    デバイス(CPLD)であることを特徴とする請求項1のデ
    ジタルシンセサイザ。
  3. 【請求項3】 前記カウンタがカウントする際の前記所
    定の固定増分が所定の整数であることを特徴とする請求
    項1のデジタルシンセサイザ。
  4. 【請求項4】 前記メモリが検索テーブル(L.U.T.)を
    含むことを特徴とする請求項1のデジタルシンセサイ
    ザ。
  5. 【請求項5】 所定の固定増分にてカウントするための
    カウンティング手段;デジタル波形値を格納し、前記カ
    ウントデバイスから入力されるカウント値の受信に応答
    してデジタル波形値を出力するように適合された格納手
    段;および前記格納手段から出力されるデジタル波形値
    をアナログ波形値に変換するように適合された変換手段
    を備えることを特徴とするデジタルシンセサイザ。
  6. 【請求項6】 前記カウンティング手段がCPLD(複雑プ
    ログラマブル論理デバイスデ)を含むことを特徴とする
    請求項5のデジタルシンセサイザ。
  7. 【請求項7】 前記格納(メモリ)手段が検索テーブル
    (L.U.T.)を含むことを特徴とする請求項5のデジタル
    シンセサイザ。
  8. 【請求項8】 前記変換手段がデジタル/アナログコン
    バータ(ADC)を含むことを特徴とする請求項5のデジ
    タルシンセサイザ。
  9. 【請求項9】 請求項1のデジタルシンセサイザを備え
    た信号抽出デバイスであって、このデバイスがさらに:
    受信された入力信号を、前記デジタルシンセサイザから
    出力されるアナログ信号と混合するように適合されたミ
    キシング回路;および前記ミキシング回路から受信され
    る出力をフィルタリングすることで、前記受信された入
    力信号の所定の部分を回復するように適合されたフィル
    タを備えることを特徴とする信号抽出デバイス。
  10. 【請求項10】 前記入力信号の所定の部分が、搬送波
    信号内に具現されることを特徴とする請求項9の信号抽
    出デバイス。
  11. 【請求項11】 前記アナログ出力信号が、前記搬送波
    と前記入力信号の所定の部分との間の差に等しい高精度
    な信号であることを特徴とする請求項10の信号抽出デ
    バイス。
  12. 【請求項12】 発振器および請求項1のデジタルシン
    セサイザを備えたプログラマブル低周波クロック信号を
    生成するための装置。
  13. 【請求項13】 請求項1のデジタルシンセサイザを備
    えた周波数セレクタであって、前記カウンタが受信され
    る入力選択信号に依存して異なる所定の固定増分にてカ
    ウントすることを特徴とする周波数セレクタ。
  14. 【請求項14】 請求項13の周波数セレクタを備えた
    周波数シフト変位(FSK)変調器であって、前記入力選
    択信号に依存して生成される前記デジタルシンセサイザ
    の出力信号が様々な異なる周波数を有する合成信号であ
    ることを特徴とするFSK変調器。
  15. 【請求項15】 請求項5のデジタルシンセサイザを備
    えた信号抽出デバイスであって、さらに:受信された入
    力信号を、前記デジタルシンセサイザから出力されるア
    ナログ信号と混合するように適合されたミキシング手
    段;および前記発振(ミキシング)手段から受信される
    出力をフィルタリングすることで、前記入力信号の所定
    の部分を回復するように適合されたフィルタリング手段
    を備えることを特徴とする信号抽出手段。
  16. 【請求項16】 前記入力信号の所定の部分が、搬送波
    信号内に具現されることを特徴とする請求項15の信号
    抽出デバイス。
  17. 【請求項17】 前記アナログ出力信号が、前記搬送波
    と前記入力信号の所定の部分との間の差に等しい高精度
    な信号であることを特徴とする請求項16の信号抽出デ
    バイス。
  18. 【請求項18】 請求項5のデジタルシンセサイザを備
    えた周波数セレクタであって、前記カウンタが受信され
    る入力選択信号に依存して異なる所定の固定増分にてカ
    ウントすることを特徴とする周波数セレクタ。
  19. 【請求項19】 請求項18の周波数セレクタを備えた
    FSK変調器であって、前記入力選択信号に依存して生成
    される前記デジタルシンセサイザからの出力信号が、様
    々な異なる周波数を有する合成信号であることを特徴と
    するFSK変調器。
  20. 【請求項20】 発振器および請求項5のデジタルシン
    セサイザを備えた高精度の周波数信号を生成するための
    装置。
  21. 【請求項21】 所定の固定増分にてカウントするよう
    に適合されたカウンタ;前記カウンタに接続された、デ
    ジタル波形値を格納し、前記カウンタからの入力の受信
    に応答して、デジタル波形値を出力するように適合され
    たメモリ;および前記カウンタと前記メモリに接続され
    た、(前記メモリから)受信されたデジタル波形値と、
    前記カウンタから受信される入力とを結合することで、
    出力アナログ波形を生成するように適合されたデジタル
    /アナログコンバータ(DAC)を備えることを特徴とす
    るシンセサイザ。
  22. 【請求項22】 前記デジタル/アナログコンバータ
    (DAC)が、前記メモリからの複数のビットと、前記カ
    ウンタからの複数のビットを受信することを特徴とする
    請求項21のシンセサイザ。
  23. 【請求項23】 前記カウンタから受信される2ビット
    が最上位ビットであることを特徴とする請求項22のシ
    ンセサイザ。
  24. 【請求項24】 前記デジタル/アナログコンバータ
    (DAC)が、前記メモリからの8ビットと、前記カウン
    タからの2ビットを受信することを特徴とする請求項2
    2のシンセサイザ。
  25. 【請求項25】 前記メモリが8ビットプログラマブル
    読出専用メモリ(PROM)であることを特徴とする請求項
    21のシンセサイザ。
  26. 【請求項26】 前記デジタル/アナログコンバータ
    (DAC)が10ビットDACであることを特徴とする請求項
    21のシンセサイザ。
  27. 【請求項27】 前記デジタル/アナログコンバータ
    (DAC)が12ビットDACであることを特徴とする請求項
    21のシンセサイザ。
  28. 【請求項28】 前記デジタル/アナログコンバータ
    (DAC)が10ビットDACであることを特徴とする請求項
    25のシンセサイザ。
  29. 【請求項29】 前記デジタル/アナログコンバータ
    (DAC)が12ビットDACであることを特徴とする請求項
    25のシンセサイザ。
JP30791799A 1998-10-29 1999-10-29 直接デジタルシンセサイザ Expired - Fee Related JP3413137B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/181815 1998-10-29
US09/181,815 US6539411B1 (en) 1998-10-29 1998-10-29 Direct digital synthesizer

Publications (2)

Publication Number Publication Date
JP2000151284A true JP2000151284A (ja) 2000-05-30
JP3413137B2 JP3413137B2 (ja) 2003-06-03

Family

ID=22665927

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30791799A Expired - Fee Related JP3413137B2 (ja) 1998-10-29 1999-10-29 直接デジタルシンセサイザ

Country Status (8)

Country Link
US (1) US6539411B1 (ja)
EP (1) EP0997809A3 (ja)
JP (1) JP3413137B2 (ja)
KR (1) KR20000035114A (ja)
CN (1) CN1253332A (ja)
BR (1) BR9904737A (ja)
CA (1) CA2281407A1 (ja)
TW (1) TW507424B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4652546B2 (ja) * 2000-09-21 2011-03-16 三星電子株式会社 受信機
US7356186B2 (en) * 2002-08-23 2008-04-08 Kulas Charles J Digital representation of audio waveforms using peak shifting to provide increased dynamic range
US6867625B1 (en) 2003-09-24 2005-03-15 Itt Manufacturing Enterprises, Inc. Method and apparatus for high frequency digital carrier synthesis from plural intermediate carrier waveforms
US8098376B2 (en) * 2006-09-07 2012-01-17 William Marsh Rice University Integrated embedded processor based laser spectroscopic sensor
DE102008039205A1 (de) * 2008-08-22 2010-04-22 EPROTECH Reimann e.K. Jürgen Reimann Vorrichtung und Verfahren zur Überwachung einzelner Photovoltaikmodule einer Photovoltaikanlage
CN103346808B (zh) * 2013-06-19 2015-09-09 华为技术有限公司 一种终端设备
CN104378082B (zh) 2013-08-12 2017-11-07 瑞昱半导体股份有限公司 振荡频率偏移侦测方法以及振荡频率偏移侦测电路
TWI551036B (zh) * 2013-08-22 2016-09-21 瑞昱半導體股份有限公司 振盪頻率偏移偵測方法以及振盪頻率偏移偵測電路
US20150097839A1 (en) * 2013-10-07 2015-04-09 Tektronix, Inc. Stochastic rasterization of waveform trace displays
CN105933003B (zh) * 2016-04-25 2018-07-17 中国科学院武汉物理与数学研究所 一种小型铷原子频标射频电路

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5818803B2 (ja) 1975-03-13 1983-04-14 日本電気株式会社 デジタル正弦波発生回路
JPS585874A (ja) 1981-07-01 1983-01-13 Mitsubishi Electric Corp 波形発生装置
FR2604270B1 (fr) * 1986-09-22 1991-10-18 Jutand Francis Additionneur binaire comportant un operande fixe, et multiplieur binaire parallele-serie comprenant un tel additionneur
JPH087642B2 (ja) 1988-02-16 1996-01-29 アンリツ株式会社 ディジタルファンクションジェネレータ
US5028887A (en) 1989-08-31 1991-07-02 Qualcomm, Inc. Direct digital synthesizer driven phase lock loop frequency synthesizer with hard limiter
US5019825A (en) 1989-11-24 1991-05-28 The United States Of America As Represented By The Secretary Of The Army Coherently interruptible frequency hopped chirp generator
ATE195836T1 (de) * 1990-06-05 2000-09-15 Siemens Metering Ltd Verfahren zum abfragen von stromzählern
JPH0763124B2 (ja) * 1993-02-24 1995-07-05 日本電気株式会社 直接デジタル周波数シンセサイザ
FR2702896B1 (fr) 1993-03-17 1995-06-09 Sgs Thomson Microelectronics Generateur multifrequence programmable.
JPH09205327A (ja) 1996-01-29 1997-08-05 Kokusai Electric Co Ltd 位相制御機能付き直接ディジタル周波数合成回路
US6066967A (en) * 1997-02-07 2000-05-23 Sensytech, Inc. Phase-coherent frequency synthesis with a DDS circuit
US5963607A (en) * 1997-05-02 1999-10-05 Ail Systems, Inc. Direct digital synthesizer with high resolution tracker

Also Published As

Publication number Publication date
JP3413137B2 (ja) 2003-06-03
EP0997809A2 (en) 2000-05-03
TW507424B (en) 2002-10-21
CA2281407A1 (en) 2000-04-29
CN1253332A (zh) 2000-05-17
KR20000035114A (ko) 2000-06-26
BR9904737A (pt) 2000-09-05
EP0997809A3 (en) 2004-02-25
US6539411B1 (en) 2003-03-25

Similar Documents

Publication Publication Date Title
US4951004A (en) Coherent direct digital synthesizer
EP1873612A1 (en) Phase-coherent signal generator
US3882403A (en) Digital frequency synthesizer
JPS5930308A (ja) 位相・振幅変換器
US4910698A (en) Sine wave generator using a cordic algorithm
JP3413137B2 (ja) 直接デジタルシンセサイザ
US7440987B1 (en) 16 bit quadrature direct digital frequency synthesizer using interpolative angle rotation
JP2776515B2 (ja) デジタル周波数シンセサイザー
US6066967A (en) Phase-coherent frequency synthesis with a DDS circuit
JP2005198296A (ja) 多段数値カウンタ発振器
KR100918793B1 (ko) 위상 지속 가변 신호원 방법, 장치 및 컴퓨터 프로그램기록매체
JP2836526B2 (ja) 周波数シンセサイザ
US7209937B2 (en) Method and apparatus for generation of arbitrary mono-cycle waveforms
AU2020423675A1 (en) Frequency-multiplying direct digital synthesizer
US6922089B2 (en) Digital frequency synthesizing circuit and system thereof using interpolation and linear feedback shift register (LFSR)
MXPA99009836A (en) Digital synthesizer dire
JPH0832350A (ja) 周波数シンセサイザ
JP4520586B2 (ja) 周波数シンセサイザおよびガウス雑音発生装置
KR20000031136A (ko) 직접 디지털 주파수 합성기
US7395289B2 (en) Frequency synthesizing and back-end processing circuit and method thereof
CN109358698B (zh) 一种基于复合频率控制字的直接数字频率合成方法及装置
JP3077515B2 (ja) 任意パラメータスイープ機能付き波形発生器
KR0175272B1 (ko) 수치제어 발진기
JPH08330914A (ja) 波形発生器
JPH0783263B2 (ja) デジタル形信号発生装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080328

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090328

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090328

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100328

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100328

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110328

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130328

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140328

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees