FR2975828A1 - Circuit integre realise en soi comprenant des cellules adjacentes de differents types - Google Patents

Circuit integre realise en soi comprenant des cellules adjacentes de differents types Download PDF

Info

Publication number
FR2975828A1
FR2975828A1 FR1158545A FR1158545A FR2975828A1 FR 2975828 A1 FR2975828 A1 FR 2975828A1 FR 1158545 A FR1158545 A FR 1158545A FR 1158545 A FR1158545 A FR 1158545A FR 2975828 A1 FR2975828 A1 FR 2975828A1
Authority
FR
France
Prior art keywords
type
integrated circuit
transistors
buried
doping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR1158545A
Other languages
English (en)
Other versions
FR2975828B1 (fr
Inventor
Jean-Philippe Noel
Olivier Thomas
Bastien Giraud
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique CEA
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat a lEnergie Atomique CEA, Commissariat a lEnergie Atomique et aux Energies Alternatives CEA filed Critical Commissariat a lEnergie Atomique CEA
Priority to FR1158545A priority Critical patent/FR2975828B1/fr
Priority to PCT/EP2012/059519 priority patent/WO2012160071A1/fr
Priority to US14/118,955 priority patent/US8969967B2/en
Priority to EP12721876.6A priority patent/EP2715789A1/fr
Publication of FR2975828A1 publication Critical patent/FR2975828A1/fr
Application granted granted Critical
Publication of FR2975828B1 publication Critical patent/FR2975828B1/fr
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

L'invention concerne un circuit intégré (2) comprenant un empilement d'un substrat semi-conducteur (201) d'un premier type de dopage (P), d'une couche isolante enterrée (203) et d'une couche semi-conductrice, et comprenant : -des premier et deuxième composants électroniques : -des premier et deuxième plans de masse disposés sous la couche isolante enterrée à l'aplomb respectivement des premier et deuxième composants électroniques ; -des premier et deuxième caissons du premier type de dopage disposés respectivement sous les premier et deuxième plans de masse. Les premier et deuxième caissons sont séparés du substrat semi-conducteur par un caisson profond d'un second type de dopage ; Les premier et deuxième caissons sont séparés l'un de l'autre par un caisson latéral ; Le circuit intégré comprend un circuit de polarisation configuré pour appliquer des tensions distinctes aux premier et deuxième caissons.

Description

CIRCUIT INTEGRE REALISE EN SOI COMPRENANT DES CELLULES ADJACENTES DE DIFFERENTS TYPES
L'invention concerne les circuits intégrés, et en particulier les circuits intégrés réalisés sur un substrat de type silicium sur isolant (SOI). La technologie SOI consiste à séparer une fine couche de silicium (quelques nanomètres) sur un substrat en silicium par une couche d'isolant relativement épaisse (quelques dizaines de nanomètres en règle générale). Les circuits intégrés réalisés en technologie SOI présentent un certain nombre d'avantages. De tels circuits présentent généralement une plus faible consommation électrique pour des performances équivalentes. De tels circuits induisent également des capacités parasites plus faibles, qui permettent d'améliorer la vitesse de commutation. De plus, le phénomène de déclenchement parasite (latchup en langue anglaise) rencontré par les transistors MOS en technologie Bulk peut être évité. De tels circuits s'avèrent donc particulièrement adaptés pour des applications de type SoC ou MEMS. On constate également que les circuits intégrés SOI sont moins sensibles aux effets des radiations ionisantes et s'avèrent ainsi plus fiables dans des applications où de telles radiations peuvent induire des problèmes de fonctionnement, notamment dans des applications spatiales. Les circuits intégrés SOI peuvent notamment comprendre des mémoires vives de type SRAM ou des portes logiques. La réduction de la consommation statique de portes logiques tout en augmentant leur vitesse de basculement fait l'objet de nombreuses recherches.
Certains circuits intégrés en cours de développement intègrent à la fois des portes logiques à faible consommation et des portes logiques à vitesse de basculement élevée. Pour générer ces deux types de portes logiques sur un même circuit intégré, on rabaisse la tension de seuil de certains transistors des portes logiques à accès rapide, et on augmente la tension seuil d'autres transistors des portes logiques à faible consommation. En technologie Bulk, la modulation du niveau de tension de seuil de transistors de même type est effectuée en différenciant le niveau de dopage de leur canal. Cependant, en technologie FDSOI (pour Fully Depleted Silicium On Insulator en langue anglaise, désignant du silicium totalement déserté sur isolant), le dopage du canal est quasiment nul (1015 cm-3). Ainsi, le niveau de dopage du canal des transistors ne peut donc pas présenter de variations importantes, ce qui empêche de différencier les tensions de seuil par ce biais. Une solution proposée dans certaines études pour réaliser des transistors de même type à tensions de seuil distinctes est d'intégrer différents matériaux de grille pour ces transistors. Cependant, la réalisation pratique d'un tel circuit intégré s'avère techniquement délicate et économiquement prohibitive.
Afin de disposer de tensions de seuil distinctes pour différents transistors en technologie FDSOI, il est également connu d'utiliser un plan de masse polarisé disposé entre une couche d'oxyde isolante mince et le substrat de silicium. En jouant sur le dopage des plans de masse et sur leur polarisation, on peut définir une gamme de tensions de seuil pour les différents transistors. On pourra ainsi disposer de transistors à faible tension de seuil dits LVT, de transistors à haute tension de seuil dits HVT et de transistors à tension de seuil moyenne dits SVT. Pour certaines fonctions du circuit, il est possible de réunir dans une même zone des transistors d'un même type, par exemple des transistors LVT ou des transistors HVT. Cependant, certaines fonctions du circuit nécessitent d'accoler des transistors de types différents, avec des plans de masse présentant des polarisations différentes. La conception de telles fonctions du circuit s'avère alors relativement délicate, car des contraintes de conception supplémentaires doivent être prises en compte. Les figures 1 a à 1c fournissent un exemple de couples de transistors de différents types, respectivement HVT, SVT et LVT. La figure 1 a représente un exemple d'un couple de transistors nMOS 1 nH et pMOS 1 pH de type HVT. Les transistors 1 nH et 1 pH sont réalisés en technologie SOI. Les transistors 1 nH et 1 pH sont réalisés sur une couche de substrat de silicium 101H. Les transistors 1 nH et 1 pH comprennent des couches isolantes enterrées respectives 103nH et 103pH, séparées de la couche de substrat 101H par l'intermédiaire de plans de masse respectifs 102nH et 102pH et de caissons 112nH et 112pH. Les couches isolantes 103nH et 103pH sont surmontées par une couche active de silicium. La couche active de silicium du transistor 1 nH comporte une source, un canal 104nH et un drain. La couche active de silicium du transistor 1 pH comporte une source, un canal 104pH et un drain. Les plans de masse 102nH et 102pH permettent d'améliorer le contrôle électrostatique du transistor en limitant la pénétration des champs électriques générés par le drain et la source sous le canal 104nH ou 104pH. La réduction du couplage électrostatique latéral réduit les effets canaux courts et limite l'effet de déplétion par le drain DIBL. Les canaux 104nH et 104pH sont recouverts respectivement par des couches d'oxyde de grille 105nH et 105pH. Les oxydes de grille 105nH et 105pH sont surmontés par des empilements de grille respectifs comprenant des couches métalliques 108nH et 108pH et des couches de polysilicium 111 nH et 111 pH. Les empilements sont délimités latéralement par des espaceurs 110nH et 110pH. Des tranchées d'isolation 106H, 107H et 109H sont placées autour des transistors 1 nH et 1 pH. Pour obtenir des transistors de type HVT, les plans de masse présentent une épaisseur dite ultra-fine, typiquement comprise entre 10 et 100nm. Le plan de masse 102nH présente un dopage de type P et une polarisation à la masse, et le plan de masse 102pH présente un dopage de type N et une polarisation à Vdd. Les caissons 112nH et 112pH présentent des dopages respectifs de type P et de type N. La polarisation des plans de masse 102nH et 102pH se fait par l'intermédiaire des caissons 112nH et 112pH respectivement.
La figure 1 b représente un exemple d'un couple de transistors nMOS 1 nS et pMOS 1 pS de type SVT. Les transistors 1 nS et 1 pS présentent sensiblement la même structure que les transistors 1 nH et 1 pH : ils sont réalisés sur une couche de substrat de silicium 101S, comprennent des couches isolantes enterrées respectives 103nS et 103pS, séparées de la couche de substrat 101H par l'intermédiaire de plans de masse respectifs 102nS et 102pS et de caissons 112nS et 112pS. Les couches isolantes 103nS et 103pS sont surmontées par une couche active de silicium. La couche active de silicium du transistor 1 nS comporte une source, un canal 104nS et un drain. La couche active de silicium du transistor 1 pS comporte une source, un canal 104pS et un drain. Les canaux 104nS et 104pS sont recouverts respectivement par des couches d'oxyde de grille 105nS et 105pS. Les oxydes de grille 105nS et 105pS sont surmontés par des empilements de grille respectifs comprenant des couches métalliques 108nS et 108pS et des couches de polysilicium 111 nS et 111 pS. Les empilements sont délimités latéralement par des espaceurs 110nS et 110pS.
Des tranchées d'isolation 106S, 107S et 109S sont placées autour des transistors 1 nS et 1 pS. Pour obtenir des transistors de type SVT, les plans de masse présentent une épaisseur ultra-fine. Le plan de masse 102nS présente un dopage de type N et une polarisation à la masse, et le plan de masse 102pS présente un dopage de type P et une polarisation à Vdd. Les caissons 112nS et 112pS présentent des dopages respectifs de type P et de type N. La polarisation des plans de masse 102nS et 102pS se fait par l'intermédiaire des caissons 112nS et 112pS respectivement. La figure l c représente un exemple d'un couple de transistors nMOS 1 nL et pMOS 1 pL de type LVT. Les transistors 1 nL et 1 pL présentent sensiblement la même structure que les transistors 1 nH et 1 pH : ils sont réalisés sur une couche de substrat de silicium 101L, comprennent des couches isolantes enterrées respectives 103nL et 103pL, séparées de la couche de substrat 101H par l'intermédiaire de plans de masse respectifs 102nL et 102pL et de caissons 112nL et 112pL. Les couches isolantes 103nL et 103pL sont surmontées par une couche active de silicium. La couche active de silicium du transistor 1 nL comporte une source, un canal 104nL et un drain. La couche active de silicium du transistor 1 pL comporte une source, un canal 104pL et un drain. Les canaux 104nL et 104pL sont recouverts respectivement par des couches d'oxyde de grille 105nL et 105pL. Les oxydes de grille 105nL et 105pL sont surmontés par des empilements de grille respectifs comprenant des couches métalliques 108nL et 108pL et des couches de polysilicium 111 nL et 111 pL. Les empilements sont délimités latéralement par des espaceurs 110nL et 110pL. Des tranchées d'isolation 106L, 107L et 109L sont placées autour des transistors 1 nL et 1 pL.
Pour obtenir des transistors de type LVT, les plans de masse présentent une épaisseur ultra-fine. Le plan de masse 102nL présente un dopage de type N et une polarisation à Vdd, et le plan de masse 102pL présente un dopage de type P et une polarisation à la masse. Les caissons 112nL et 112pL présentent des dopages respectifs de type N et de type P. La polarisation des plans de masse 102nL et 102pL se fait par l'intermédiaire des caissons 112nL et 112pL respectivement. Si des couples de transistors HVT et SVT peuvent être accolés sur une même rangée ou sur des rangées adjacentes, un couple de transistors LVT ne peut par contre pas être adjacent à un couple de transistors HVT ou SVT. En effet, il peut être nécessaire de modifier les polarisations des plans de masse par rapport à la masse ou à Vdd. Du fait de ces polarisations et du dopage des plans de masse, des courts-circuits entre des caissons ou des jonctions P-N polarisées en direct peuvent être générées. II existe donc un besoin pour des conceptions de circuits intégrés de type FDSOI dans lesquels des cellules adjacentes présentent des caissons de même dopage à polarisations distinctes pour obtenir des tensions de seuil distinctes. Par ailleurs, de façon générale, l'invention vise à favoriser la conception de circuits intégrés présentant des tensions de seuil distinctes.
Certaines publications ont proposé des évolutions de structures des circuits intégrés FDSOI. Un problème pratique qui se pose avec toute évolution technologique portant sur de tels circuits est que les outils de conception existant peuvent s'avérer incompatibles ou nécessiter d'importants développements informatiques.
Ainsi, dans l'industrie, les concepteurs de circuits électroniques des fabricants de semi-conducteurs utilisent la conception assistée par ordinateur (CAO). Les grands circuits sont en effet trop complexes pour être conçus à la main et nécessitent des outils informatiques adéquats, notamment pour éviter les risques d'erreurs de conception.
La CAO utilise une spécification fonctionnelle en entrée. Cette spécification fonctionnelle décrit le fonctionnement voulu du circuit, ainsi que des contraintes non fonctionnelles (surface, coût, consommation...). La CAO fournit une représentation sous forme d'un fichier informatique en sortie (généralement au format GDSII ou, plus récemment, OASIS). Ce fichier informatique définit les dessins des masques du circuit intégré à réaliser, de sorte que ces masques puissent être fabriqués. Les masques réalisés servent alors à la fabrication du circuit dans les unités de fabrication de semi-conducteurs pendant des étapes de photolithographie. La CAO est divisée en plusieurs étapes. En partant de la spécification fonctionnelle du circuit, on définit le concept et l'architecture globale du circuit intégré lors d'une première étape. On modélise ainsi à très haut niveau le système complet (matériel et logiciel) afin de valider en termes de performance l'architecture choisie par rapport aux besoins de l'application. L'architecture du circuit intégré est généralement conçue en langage Verilog, VHDL, SPICE ou autres.
On réalise ensuite une étape d'optimisation (appelée floorplanning en langue anglaise). Durant cette étape, on crée une carte des emplacements des portes logiques sur la puce, les sources et les mises à la masse, les entrées/sorties, et les circuits macros (composants complexes comme les processeurs, DSP, mémoires, etc.).
On réalise ensuite une synthèse logique du circuit. Dans cette étape, on modélise le circuit au niveau transfert de registre (pour Register Transfer Level (RTL) en langue anglaise). Cette modélisation revient à décrire l'implémentation du circuit intégré sous forme d'éléments séquentiels et de combinaisons logiques entre les différentes entrées/sorties des éléments séquentiels et des entrées/sorties primaires du circuit intégré. La modélisation fournit un réseau composé de portes logiques et d'éléments rudimentaires. Cette modélisation est généralement codée avec un langage dédié tel que le Verilog ou le VHDL. La modélisation RTL est automatiquement synthétisable en portes logiques combinatoires (portes ET, OU, multiplexeur, etc.) et séquentielles (bascules D synchrones, etc.) issues d'une bibliothèque de cellules standard (standard cell library en langue anglaise). L'emplacement des éléments n'est pas encore spécifié à ce stade et se présente sous forme de liste d'éléments nécessaires pour réaliser les fonctions désirées. On réalise ensuite une synthèse de comportement du circuit, également appelé synthèse de haut niveau ou synthèse algorithmique. On simule alors le comportement temporel du modèle RTL généré. On détermine chaque signal d'interconnexion en fonction de stimuli d'entrée décrits (généralement dans le même langage que le modèle RTL). Si le circuit à simuler contient un processeur, on définit un programme exécutable correspondant sous forme d'un contenu binaire de mémoire. La mémoire contenant le code programme et les données (FLASH ou SRAM par exemple) peut aussi être modélisée avec un même langage, mais à un niveau d'abstraction plus élevé que le RTL. La synthèse algorithmique n'est pas forcément suffisante pour garantir l'absence d'erreur de conception pour les raisons suivantes car : -la génération des stimuli est faite par le concepteur et ne permet pas de réaliser des tests fonctionnels exhaustifs pour des questions de temps ; - les simulateurs logiques sont relativement lents. Pour un circuit complexe, plusieurs jours de simulation peuvent être nécessaires, ce qui limite le nombre de simulations réalisables.
Lors d'une étape de synthèse logique, on transforme le modèle RTL du circuit en une description au niveau des portes logiques (génération de la gate netlist en langue anglaise). On dispose à cet effet d'une bibliothèque de portes logiques disponibles. Cette bibliothèque rassemble généralement plusieurs centaines d'éléments logiques (comme des portes ET, OU, bascules, etc.).
Cette bibliothèque dépend de la finesse de gravure du circuit (par exemple 32 nm ou 22 nm) et des règles de dessin des cellules en fonction du procédé de fabrication du fabriquant. L'utilisateur doit également fournir des contraintes de synthèse logique, telles que la fréquence de fonctionnement du circuit, ses conditions (gamme de tension d'alimentation, gamme de température, dispersion des délais de traversée des portes liées au procédé de fabrication), les contraintes de temps de départ et d'arrivée sur les entrées primaires et secondaires du circuit, le modèle de charge lié aux fils d'interconnexion qui relieront les portes ou la taille maximale du circuit sur le substrat en silicium.
Les outils de synthèse travaillent généralement sur des circuits intégrés numériques synchrones dont les éléments séquentiels sont cadencés par une seule horloge. Éventuellement, il peut y avoir plusieurs domaines d'horloge, regroupant un ensemble d'éléments séquentiels et combinatoires. L'outil de synthèse logique d'un circuit synchrone procède généralement en plusieurs étapes : - transformation du modèle RTL en éléments logiques combinatoires et séquentiels génériques (indépendamment de la bibliothèque cible) suivant des algorithmes mathématiques ; - remplacement des éléments logiques génériques par ceux issus de la bibliothèque cible. Pour cela, il choisit les éléments logiques respectant les contraintes de temps et d'espace données par l'utilisateur. Des calculs d'analyse de délais sont alors réalisés sur tous les chemins logiques du circuit afin de s'assurer qu'ils respectent les contraintes de temps (fréquence de fonctionnement du circuit). Si les résultats ne sont pas concluants, l'outil essaye d'utiliser d'autres portes disponibles dans la bibliothèque pour arriver au résultat souhaité. II est ainsi courant dans une bibliothèque d'avoir de nombreuses portes réalisant la même fonction logique mais avec des tailles et des sortances différentes ; - lorsque les contraintes de temps sont remplies, l'outil de synthèse 40 dispose de certaines marges de temps sur certains chemins. II peut alors optimiser la conception du circuit en remplaçant certaines portes par d'autres moins gourmandes en consommation et en taille de silicium tout en continuant à respecter les contraintes de temps. La synthèse logique fournit un fichier informatique représentant l'instanciation des portes de la bibliothèque cible et leur interconnexion et représentant le circuit intégré (gate netlist). II existe différents formats de ce type de représentation, notamment le format Verilog, le format VHDL ou le format EDIF. La synthèse logique est suivie d'une étape de placement/routage (place and route en langue anglaise). Durant cette étape, les différents composants du circuit intégré définis dans la gate netlist sont automatiquement placées et connectées en fonction du problème à résoudre. Le placement/routage est un problème d'optimisation difficile qui nécessite des techniques métaheuristiques. La synthèse logique peut nécessiter une longue et fastidieuse redéfinition des nouveaux composants. Le placement/routage peut s'avérer particulièrement sensible à la redéfinition de nouveaux composants.
L'invention vise à résoudre un ou plusieurs de ces inconvénients. L'invention porte ainsi sur un circuit intégré comprenant un empilement d'un substrat semi-conducteur d'un premier type de dopage, d'une couche isolante enterrée et d'une couche semi-conductrice, et comprenant : - des premier et deuxième composants électroniques formés dans et/ou sur ladite couche semi-conductrice : - des premier et deuxième plans de masse disposés sous la couche isolante enterrée à l'aplomb respectivement des premier et deuxième 25 composants électroniques ; - des premier et deuxième caissons du premier type de dopage disposés respectivement sous les premier et deuxième plans de masse. Les premier et deuxième caissons sont séparés du substrat semi-conducteur par un caisson profond d'un second type de dopage ; 30 Les premier et deuxième caissons sont séparés l'un de l'autre par un caisson latéral présentant le deuxième type de dopage et/ou par un bloc constitué d'un matériau isolant ; Le circuit intégré comprend un circuit de polarisation configuré pour appliquer des tensions distinctes aux premier et deuxième caissons. 35 Selon une variante, les premier et deuxième caissons sont séparés l'un de l'autre par un bloc constitué d'un matériau isolant. Selon encore une variante, ledit bloc s'étend jusqu'au caisson profondément enterré. Selon une autre variante, ledit bloc s'étend jusqu'aux premier et 40 deuxième caissons mais pas jusqu'au caisson profondément enterré, et ledit bloc surplombe le caisson latéral présentant le deuxième type de dopage et séparant les premier et deuxième caissons. Selon encore une autre variante, les premier et deuxième caissons sont séparés l'un de l'autre par un caisson latéral présentant le deuxième type de 5 dopage. Selon une variante, un bloc de matériau isolant sépare les premier et deuxième composants électroniques au niveau de la couche semi-conductrice, ledit bloc de matériau isolant étant formé à l'aplomb dudit caisson latéral, le caisson latéral s'étendant de la couche isolante enterrée jusqu'au caisson 10 profondément enterré. Selon une autre variante, ledit premier composant électronique est un premier transistor de type FDSOI et ledit deuxième composant électronique est un deuxième transistor de type FDSOI, la couche isolante enterrée étant de type UTBOX, un parmi le premier ou le deuxième transistor étant de type nMOS, 15 l'autre parmi le premier ou le deuxième transistor étant de type pMOS. Selon encore une variante, le circuit intégré comprend : - un troisième transistor formé dans et/ou sur ladite couche semi-conductrice et du même type que le deuxième transistor ; - un troisième plan de masse disposé sous la couche isolante enterrée à 20 l'aplomb du troisième composant électronique ; - un troisième caisson du premier type de dopage disposé sous le troisième plan de masse dans la continuité du deuxième caisson. Selon encore une autre variante, le troisième plan de masse est d'un type opposé au deuxième plan de masse. 25 Selon une variante, le troisième plan de masse est du même type que le deuxième plan de masse. Selon une autre variante, un bloc de matériau isolant sépare les deuxième et troisième transistors au niveau de la couche semi-conductrice. Selon encore une variante, ledit bloc de matériau isolant n'atteint pas les 30 deuxième et troisième caissons. Selon encore une autre variante, le premier plan de masse présente le deuxième type de dopage et est séparé de la bande latérale par une bande latérale additionnelle présentant le premier type de dopage. Selon une variante, ledit bloc de matériau isolant atteint les deuxième et 35 troisième caissons mais n'atteint pas le caisson profondément enterré. Selon encore une variante, les premier et deuxième composants électroniques sont des premier et deuxième transistors appartenant à une cellule mémoire SRAM. Selon une autre variante, la couche isolante enterrée présente une 40 épaisseur inférieure à 50nm et dans lequel la largeur de la grille desdits transistors est inférieure à 50nm.
D'autres caractéristiques et avantages de l'invention ressortiront clairement de la description qui en est faite ci-après, à titre indicatif et nullement limitatif, en référence aux dessins annexés, dans lesquels : -les figures 1 a à 1c sont des vues en coupe de couples de transistors FDSOI de différents types selon l'art antérieur ; - la figure 2 est une vue en coupe d'un circuit intégré selon un premier mode de réalisation de l'invention - la figure 3 est une vue en coupe d'un circuit intégré selon un deuxième 10 mode de réalisation de l'invention - les figures 4a, 4b, 5a, 5b, 6a, 6b, 7a et 7b sont des représentations schématiques de cellules standards selon le premier mode de réalisation pour une bibliothèque d'un logiciel de placement/routage pour une conception du circuit intégré assistée par ordinateur ; 15 -la figure 8 est un exemple d'une portion d'un circuit selon l'invention conçu avec les cellules standards - la figure 9 est un autre exemple d'une portion d'un circuit selon l'invention conçu avec d'autres types de cellules standards ; - la figure 10 est un autre exemple d'une portion d'un circuit conçu selon 20 l'invention avec des cellules standards ; - la figure 11 est un diagramme illustrant la largeur de déplétion dans un caisson en fonction de sa profondeur et de sa tension de polarisation ; - les figures 12 à 15 sont différentes vues en coupe de variantes de circuits intégrés selon l'invention ; 25 -la figure 16 illustre schématiquement une vue en coupe de dessus d'un circuit intégré 4 au niveau des caissons ; - la figure 17 est une vue en coupe schématique au niveau des caissons d'un premier exemple d'une zone d'intégration ; - la figure 18 est une vue en coupe schématique au niveau des caissons 30 d'un deuxième exemple d'une zone d'intégration ; - la figure 19 illustre le schéma d'une cellule mémoire de type 6T avec des polarisations selon l'invention.
De façon générale, l'invention vise à favoriser la conception de circuits 35 intégrés présentant des tensions de seuil distinctes.
L'invention propose d'une part un circuit intégré présentant deux cellules adjacentes. La première cellule comprend un transistor nMOS et un transistor pMOS de type FDSOI. La deuxième cellule comprend un transistor nMOS et un 40 transistor pMOS de type FDSOI. Ces transistors présentent des plans de masses et des caissons respectifs séparant une couche isolante enterrée ultra- mince (appelée Ultra-Thin Burried OXide (UTBOX) en langue anglaise) du substrat semi-conducteur. Les plans de masse des transistors d'une même cellule présentant des dopages respectifs P et N. Les caissons des transistors d'une même cellule présentent des dopages respectifs P et N. Un circuit de polarisation est configuré pour appliquer des tensions distinctes auxdits caissons dopés P. Le caisson dopé P d'un desdits transistors de la deuxième cellule est séparé de la première cellule et du substrat semi-conducteur par un caisson de séparation profondément enterré dopé N.
Avec une structure simple et des règles de conception nécessitant un minimum d'adaptation des outils de conception assistée par ordinateur existants, l'invention permet d'accoler des transistors de types très différents, ces transistors présentant des polarisations et des dopages distincts de leur plan de masse pour obtenir des seuils de tension distincts afin de répondre à différentes contraintes de conception.
La figure 2 est une vue en coupe transversale de deux cellules disposées dans des rangées adjacentes r; et ri+1 d'un circuit intégré 2 selon un premier mode de réalisation de l'invention. La direction longitudinale définira par la suite la direction d'extension des rangées et la direction transversale définira la direction dans le plan du substrat perpendiculaire à la direction longitudinale. Le circuit intégré comprend des première et deuxième cellules à transistors FDSOI. La première cellule 2H comprend un transistor nMOS 2nH accolé à un transistor pMOS 2pH. Les transistors de la première cellule sont d'un premier type, typiquement de type HVT c'est-à-dire à haute tension de seuil. La deuxième cellule 2L comprend un transistor nMOS 2nL accolé à un transistor pMOS 2pL. Les transistors de la deuxième cellule sont d'un second type, typiquement de type LVT c'est-à-dire à basse tension de seuil. Les transistors nMOS des différentes cellules d'une même rangée sont alignés. Les transistors pMOS de différentes cellules d'une même rangée sont également alignés. Les transistors des première et deuxième cellules comprennent de façon connue en soi une couche d'isolant enterrée 203 réalisée à l'aplomb d'un substrat de silicium 201 de type P et surmontée par une couche active de silicium. La couche active des transistors présente une structure connue en soi qui n'est représentée que de façon schématique dans un but de simplification. Les structures de couches actives détaillées en référence aux figures 1 a, 1 b et 1c pourront par exemple être utilisées. La couche active de silicium de chaque transistor comporte une source, un canal et un drain. Les transistors sont réalisés en technologie FDSOI et le dopage du canal est donc quasiment nul et sensiblement égal au dopage du substrat 201. Le substrat 201 présente par exemple un dopage de 3*1015cm-3. Le canal d'un transistor est recouvert par une couche d'oxyde de grille. L'oxyde de grille est surmonté par un empilement de grille comprenant une couche métallique (présentant typiquement une largeur inférieure à 50nm) et une couche de polysilicium. L'empilement est délimité latéralement par des espaceurs. Les transistors sont séparés par des tranchées d'isolation 206, 207 et 209. Les transistors 2nH et 2pL comportent des plans de masse 202nH et 202pL et des caissons 212nH et 212pL à dopage de type P disposés à l'aplomb de la couche d'isolant enterrée 203. Les transistors 2pH et 2nL comportent des plans de masse 202pH et 202nL et des caissons 212pH et 212nL à dopage de type N disposés à l'aplomb de la couche d'isolant enterrée 203. Les plans de masse 202nH, 202pH, 202pL et 202nL sont ménagés respectivement sur les caissons 212nH, 212pH, 212pL et 212nL. Les plans de masse 202nH, 202pH, 202pL et 202nL s'étendent en profondeur jusqu'à un niveau intermédiaire des tranchées d'isolation 206, 207 et 209. Les caissons 212nH, 212pH, 212pL et 212nL s'étendent depuis les plans de masse 202nH, 202pH, 202pL et 202nL jusqu'en dessous des tranchées d'isolation 206, 207 et 209. Les plans de masse et les caissons peuvent présenter un dopage de 1018cm-3. Les caissons 212pL et 212pH sont adjacents. Les caissons sont polarisés par des connexions non illustrées. Chaque plan de masse permet d'améliorer le contrôle électrostatique de son transistor en limitant la pénétration des champs électriques générés par le drain et la source sous le canal. La réduction du couplage électrostatique latéral réduit les effets canaux courts et limite l'effet de déplétion par le drain DIBL.
Un circuit de polarisation non illustré est configuré pour pouvoir appliquer une tension de masse sur les plans de masse 202nH, 202pL par l'intermédiaire des caissons 212nH et 212pL respectivement. Le circuit de polarisation est également configuré pour pouvoir appliquer une tension Vdd sur les plans de masse 202pH, 202nL, par l'intermédiaire des caissons 212pH et 212nL respectivement. Afin de permettre une modulation de la tension de seuil des transistors en jouant sur les polarisations et le dopage des plans de masse, la couche d'isolant 203 enterrée est du type UTBOX, ce type de couche présentant typiquement une épaisseur inférieure à 50 nm. La couche d'isolant 203 peut, par exemple, être réalisée en oxyde de silicium. Avec une couche d'isolant enterrée de type UTBOX, les polarisations et les dopages des plans de masse mentionnés, les transistors 2nH et 2pH présentent des tensions de seuil relevées et les transistors 2nL et 2pL présentent des tensions de seuil rabaissées.40 Dans l'exemple illustré à la figure 2, les plans de masse des cellules sont soumis à une polarisation FBB (pour Forward Back Biasing en langue anglaise). Le circuit de polarisation des plans de masse est ainsi configuré pour moduler les tensions appliquées aux plans de masse par rapport à la tension de masse ou la tension Vdd. Dans l'exemple, la polarisation des plans de masse 202nH et 202nL est ainsi augmentée d'une tension AV et la polarisation des plans de masse 202pH et 202pL est réduite d'une tension AV. Les polarisations suivantes sont ainsi appliquées : 202nH : 0+AV 202pH : Vdd-AV 202pL : 0-AV 202nL : Vdd+AV Ainsi, les caissons dopés P des deux cellules sont polarisés avec des tensions distinctes. En fonction de la valeur de AV, les caissons 212nH et 212pL risqueraient de se retrouver en court-circuit par l'intermédiaire du substrat 201. Afin d'éviter un tel court-circuit, un des transistors à caisson dopé P est séparé du substrat 201 par l'intermédiaire d'un caisson profondément enterré (pour deep Weil en langue anglaise) à dopage de type N. Dans l'exemple illustré figure 2, le caisson profondément enterré à dopage de type N 222L est disposé à l'aplomb du caisson 212pL. Le caisson profondément enterré à dopage de type N 222L sépare également le caisson 212pL de la cellule adjacente 2H, pour ainsi éliminer les risques de court-circuit ou de jonction P-N en direct avec la cellule 2H. Le caisson profondément enterré à dopage de type N 222L comporte une partie 213L formant une bande saillante transversalement en direction de la cellule adjacente par rapport au caisson 212pL. Aux extrémités longitudinales de la cellule 2L, la bande 213L s'étend transversalement (par exemple dans une cellule de transition), de sorte que le caisson 212pL est entouré par le caisson 212nL et le caisson 222Ldopé N. Un exemple de dimensionnement de la largeur de cette partie 213L sera détaillé par la suite. Le caisson profondément enterré 222L peut par exemple être implanté jusqu'à une profondeur supérieure à 200nm, typiquement de l'ordre de 500nm, sous la couche 203. L'utilisation d'un caisson profondément enterré 222L permet de réaliser une isolation du plan de masse 202pL par rapport à la cellule adjacente jusqu'à une très grande profondeur.
Le caisson 212pH est en outre séparé du caisson 212pL par l'intermédiaire d'une bande 213H à dopage de type P (formée par un caisson enterré dopé P) s'étendant longitudinalement. Cette bande 213H est en saillie transversalement par rapport au caisson 212pH et est accolée à la bande 213L. Aux extrémités longitudinales de la cellule 2H, la bande 213H s'étend transversalement (par exemple dans une cellule de transition), de sorte que le caisson 212 pH est entouré d'un caisson dopé P.
Le circuit de polarisation peut également être configuré pour appliquer les polarisations suivantes aux plans de masse (FBB sur la cellule 2H et la cellule 2L) : 202nH : 0+AV 202pH : Vdd-AV 202pL : 0+AV 202nL : Vdd-AV Pour éviter de réaliser des jonctions P-N polarisées en direct entre les caissons, on utilise une valeur de AV maximale de Vdd/2. Ainsi, avec une telle polarisation, la différence de potentiel d'une jonction P-N est au maximum de - 2*Vdd. La largeur minimale Wmin des bandes 213L et 213H permettant d'éviter une polarisation en direct d'une jonction P-N sera définie par le grade du masque utilisé pour l'implantation des caissons. Ce grade de masque est généralement défini par un compromis entre la finesse de gravure et le coût. Pour le noeud technologique 32nm, la largeur minimale autorisée par le manuel de règles de dessin (pour Design Rule Manuel (DRM) en langue anglaise) est de 270nm. Néanmoins, cette valeur peut être inférieure avec un procédé d'implantation optimisé pour la technologie FDSOI.
Avec une valeur de Vdd de 0,9V, pour une taille de noeud technologique de 22 nm et un dopage du caisson enterré de 1018 cm-3, des simulations numériques montrent que des bandes 213H et 213L d'une largeur de 2 pas de piste (track pitch en langue anglaise), soit 80nm, s'avèrent suffisantes. Pour des rangées présentant initialement une largeur de 12 pas de piste, les rangées réalisées avec des cellules selon l'invention présentent une augmentation de surface du substrat limitée à environ 14%. Une largeur des bandes 213H et 213L supérieure à 10% de la largeur de la rangée pourra s'avérer satisfaisante dans la plupart des cas pour éviter que les bandes 213H et 213L soient complètement déplétées.
Des simulations montrent que l'implantation du caisson enterré avec un dopage de 10l3cm-3 peut être aisément être réalisé jusqu'à 700nm pour cette taille de noeud technologique. La figure 11 représente la largeur de déplétion Wdep en fonction de la profondeur DnWd du caisson enterré pour différentes valeurs de la tension Vdd. Ce graphique montre qu'une largeur des bandes 213H et 213L de 2 pas de piste s'avère suffisante pour une implantation du caisson enterré jusqu'à 650 nm sous la couche d'oxyde, pour ces différentes valeurs de polarisation, et pour éviter que ces bandes 213H et 213L soient complètement déplétées. Même si la largeur des bandes 213H et 213L est inférieure à ces 40 préconisations, cela est sans impact sur le fonctionnement : en effet, si ces bandes sont complètement déplétées, elles se comportent comme un prolongement en profondeur des tranchées d'isolation. Les plans de masse, les caissons et les caissons profondément enterrés pourront être réalisés lors d'étapes différentes du procédé de fabrication.
L'implantation des caissons favorisera une homogénéité des charges. L'implantation des plans de masse favorisera le dopage à l'interface avec la couche d'isolant enterrée.
La figure 3 est une vue en coupe transversale de deux cellules disposées dans des rangées adjacentes r; et ri+1 d'un circuit intégré 3 selon un deuxième mode de réalisation de l'invention. Le circuit intégré 3 comprend des première et deuxième cellules à transistors FDSOI. La première cellule 3H comprend un transistor nMOS 3nH accolé à un transistor pMOS 3pH. Les transistors de la première cellule sont d'un premier type, typiquement de type HVT c'est-à-dire à haute tension de seuil. La deuxième cellule 3L comprend un transistor nMOS 3nL accolé à un transistor pMOS 3pL. Les transistors de la deuxième cellule sont d'un second type, typiquement de type LVT c'est-à-dire à basse tension de seuil. Les transistors des première et deuxième cellules comprennent de façon connue en soi une couche d'isolant enterrée 303 réalisée à l'aplomb d'un substrat de silicium 301 de type P et surmontée par une couche active de silicium. La couche active des transistors présente une structure connue en soi qui n'est représentée que de façon schématique dans un but de simplification. La couche active de silicium de chaque transistor comporte une source, un canal et un drain. Les transistors 3nH et 3pL comportent des plans de masse 302nH et 302pL et des caissons 312nH et 312pL à dopage de type P disposés à l'aplomb de la couche d'isolant enterrée 303. Les transistors 3pH et 3nL comportent des plans de masse 302pH et 302nL et des caissons 312pH et 312nL à dopage de type N disposés à l'aplomb de la couche d'isolant enterrée 303. Les plans de masse 302nH, 302pH, 302pL et 302nL sont ménagés respectivement sur les caissons 312nH, 312pH, 312pL et 312nL. Les plans de masse 302nH, 302pH, 302pL et 302nL s'étendent en profondeur jusqu'à un niveau intermédiaire des tranchées d'isolation 306, 307 et 309. Les caissons 312nH, 312pH, 312pL et 312nL s'étendent depuis les plans de masse 302nH, 302pH, 302pL et 302nL jusqu'en dessous des tranchées d'isolation 306, 307 et 309. Les plans de masse sont polarisés par des connexions non illustrées. Un circuit de polarisation non illustré est configuré pour pouvoir appliquer une tension de masse sur les plans de masse 302nH et 302pL par l'intermédiaire des caissons 312nH et 312pL respectivement, et pouvoir appliquer une tension Vdd sur les plans de masse 302pH et 302nL, par l'intermédiaire des caissons 312pH et 312nL respectivement. La couche d'isolant enterrée 303 est du type UTBOX. Avec une couche d'isolant enterrée de type UTBOX, les polarisations et les dopages des plans de masse mentionnés, les transistors 3nH et 3pH présentent des tensions de seuil relevées et les transistors 3nL et 3pL présentent des tensions de seuil rabaissées.
Dans l'exemple illustré à la figure 3, les plans de masse des cellules sont soumis à une polarisation RBB (pour Reverse Back Biasing en langue anglaise).
Ainsi, la polarisation des plans de masse 302nH et 302nL est réduite d'une tension AV et la polarisation des plans de masse 302pH et 302pL est augmentée d'une tension AV. Les polarisations suivantes sont ainsi appliquées : 302nH : 0-AV 302pH : Vdd+AV 302pL : 0+AV 302nL : Vdd-AV Ainsi, les plans de masse dopés P des deux cellules sont polarisés avec des tensions distinctes. En fonction de la valeur de AV, les caissons 312nH et 312pL risqueraient de se retrouver en court-circuit par l'intermédiaire du substrat 301. Dans l'exemple illustré figure 3, un caisson à dopage de type N 322H est disposé à l'aplomb du caisson 312nH. Le caisson profondément enterré 322H sépare également le caisson 312nH de la cellule adjacente 3L, pour ainsi éliminer les risques de court-circuit ou de jonction P-N en direct avec cette cellule 3L. Le caisson profondément enterré 322H comporte une partie 313H formant une bande saillante transversalement en direction de la cellule 3L par rapport au caisson 312nH. Aux extrémités longitudinales de la cellule 3H, la bande 313H s'étend transversalement. Le caisson 312nL est en outre séparé du caisson 312nH par l'intermédiaire d'une bande 313L à dopage de type P (formée par un caisson enterré dopé P) s'étendant longitudinalement. Cette bande 313L est en saillie transversalement par rapport au caisson 312nL et est accolée à la bande 313H. Aux extrémités longitudinales de la cellule 3L, la bande 313L s'étend transversalement.
En fonction de la configuration du circuit intégré, le circuit de polarisation peut mettre en oeuvre des polarisations de type FBB ou RBB soit dynamiquement pour modifier les tensions de seuil en fonction du contexte de fonctionnement du circuit, soit statiquement suite à une étape de configuration initiale du circuit intégré réalisée durant son processus de fabrication.
Les figures 4a, 4b, 5a, 5b, 6a, 6b, 7a et 7b sont des représentations schématiques de cellules standards destinées à générer la topologie d'un circuit intégré selon l'invention par une application de placement/routage d'un système de conception assistée par ordinateur. Ces cellules standards peuvent être incluses dans la librairie de l'application pour générer une topologie du circuit intégré avec des cellules selon le premier mode de réalisation. Dans un souci de lisibilité, les cellules standards sont illustrées par leurs vues en coupe schématique au niveau des caissons. La cellule standard A (Figure 4a) correspond à la cellule 2H illustrée à la figure 2. La cellule standard FA (Figure 4b) correspond à une cellule de transition destinée à être placée à une extrémité longitudinale d'une cellule standard A pour la séparer d'une cellule standard B adjacente de la même rangée. La cellule standard A' (Figure 5a) est une version symétrique de la cellule standard A. Les cellules standards A et A' sont disposées dans des rangées adjacentes afin de pouvoir partager des connectiques d'alimentation. La cellule standard FA' (Figure 5b) correspond à une cellule de transition destinée à être placée à une extrémité longitudinale d'une cellule standard A' pour la séparer d'une cellule standard B' adjacente de la même rangée. Les cellules de transition comportent des connexions de polarisation des plans de masse des transistors des cellules standard. La cellule standard B (Figure 6a) correspond à une cellule du type 2L décrite précédemment. La cellule standard FB (Figure 6b) correspond à une cellule de transition destinée à être placée à une extrémité longitudinale d'une cellule standard B pour la séparer d'une cellule standard A adjacente de la même rangée. La cellule standard B' (Figure 7a) est une version symétrique de la cellule standard B et correspond à la cellule 2L illustrée à la figure 2. Les cellules standards B et B' sont disposées dans des rangées adjacentes afin de pouvoir partager des connectiques d'alimentation. La cellule standard FB' (Figure 7b) correspond à une cellule de transition destinée à être placée à une extrémité longitudinale d'une cellule standard B' pour la séparer d'une cellule standard A' adjacente de la même rangée. Une bibliothèque comprenant de telles cellules standards conformes à l'invention peut aisément être mise en oeuvre par une application de placement/routage afin de définir la topologie du circuit intégré. L'application de placement/routage pourra ainsi utiliser ces cellules standards en faisant varier leur longueur (direction longitudinale de la rangée) lors de leur insertion dans la topologie du circuit intégré, ces cellules standards présentant une même largeur. Une application de placement/routage existante peut aisément être modifiée pour prendre en compte les règles de positionnement de ces nouvelles cellules standards.
La figure 8 fournit un exemple de topologie d'un circuit intégré établie avec de telles cellules standards. Pour générer cette topologie, l'application de placement/routage va typiquement utiliser la largeur de ces cellules standards pour définir la largeur des rangées dans lesquelles ces cellules standards vont être disposées. Du fait des isolations obtenues par les caissons profondément enterrés de type N de ces cellules, des courants de court-circuit entre plans de masse sont évités à la fois entre des rangées adjacentes et entre des cellules adjacentes d'une même rangée. Ainsi, comme illustré à la figure 8, les cellules des différentes rangées ne sont pas nécessairement alignées en colonnes.
La figure 9 fournit un autre exemple de typologie d'un circuit intégré avec d'autres types de cellules standards. Les cellules standards présentent la même largeur que des cellules standards selon l'état de la technique. Les cellules standards A et B (ainsi que A' et B') incluent des transistors. Ces cellules standards sont isolées les unes des autres uniquement au niveau de leurs extrémités longitudinales, par l'intermédiaire de cellules de transition FAB et FBA (ou FAB' et FBA'). Une cellule standard A ou A' n'est ainsi pas séparée par un caisson enterré d'une cellule standard B ou B' d'une rangée adjacente. À cet effet, les cellules B et B' sont alignées en colonnes. De même, les cellules A et A' sont alignées en colonnes. Les cellules standards d'une même colonne présentent ainsi une même longueur. Ainsi, on évite un court-circuit entre plans de masse dopés P en utilisant simplement les cellules de transition FAB, FBA, FAB' et FBA'. Une telle topologie permet de réaliser un circuit intégré selon l'invention avec une surface de silicium sensiblement équivalente à celle d'un circuit intégré selon l'état de la technique.
La figure 10 illustre de façon schématique la disposition de cellules standards dans une topologie de circuit intégré. Des cellules de répétition Wt (pour Weil Tap en langue anglaise) sont disposées à intervalles réguliers dans les rangées par l'application de placement/routage de façon connue en soi. L'application de placement/routage dispose des cellules standards A, A', B et B' entre ces cellules de répétitions Wt et intercale des cellules de transition FAB, FAB', FBA et FBA' entre des cellules standards A et B ou entre des cellules standards A' et B'.
Différents procédés de génération d'une topologie de circuits intégrés selon l'invention peuvent être envisagés, afin de définir la géométrie des masques utilisés durant le processus de fabrication. Les étapes préalables au placement/ routage sont connues en soi et ne seront pas davantage détaillées. Selon une première variante, l'application de placement/routage peut de façon connue en soi définir un plan d'implantation (floorplan en langue anglaise), puis ajouter les rails d'alimentation. L'application de placement/routage peut alors positionner des cellules standards intégrant des bandes saillantes transversalement 213L ou 213H, telles qu'illustrées aux figures 4a, 5a, 6a et 7a. L'application de placement/routage peut ensuite positionner des cellules de transition telles qu'illustrées aux figures 4b, 5b, 6b et 7b entre les cellules standard. L'application de placement/routage peut ensuite disposer les cellules de répétition à intervalles réguliers. L'arbre d'horloge peut ensuite être réalisé, avant de définir le routage, puis un remplissage d'interstices entre cellules standards par des cellules de remplissage. Cette variante permet avantageusement à l'application de placement/routage de générer la topologie en utilisant des règles usuelles de positionnement de cellules standard.
Selon une deuxième variante, l'application de placement/routage peut définir un plan d'implantation, puis ajouter les rails d'alimentation. L'application de placement/routage peut alors positionner des cellules standards dépourvues de bandes 213L ou 213H (ou 313L, 313H) saillantes transversalement, telles que des cellules standards illustrées à la figure 9. L'application de placement/routage peut ensuite positionner des cellules de transition correspondantes entre les cellules standard. L'application de placement/routage peut ensuite disposer les cellules de répétition à intervalles réguliers. L'arbre d'horloge peut ensuite être réalisé. Le routage peut ensuite être défini, suivi d'un remplissage d'interstices entre cellules standards par des cellules de remplissage. L'application de placement/routage intercale ensuite deux bandes de séparation transversale dopées respectivement N et P entre certaines rangées adjacentes. Cette variante permet avantageusement à l'application de placement/routage de placer au préalable des cellules standard selon l'invention présentant une même largeur que des cellules standard selon l'état de la technique, avant de disposer des bandes de séparation transversale seulement lorsque cela est nécessaire.
Dans la plupart des cas, la zone du circuit intégré incluant des cellules de différents types (c'est-à-dire présentant des caissons dopés P polarisés de façon distincte) présentera une proportion de cellules d'un premier type très majoritaire par rapport à la proportion de cellules d'un deuxième type. Dans ce cas, l'application de placement/routage pourra par exemple placer au préalable les cellules du deuxième type (minoritaires), puis placer l'ensemble des cellules du premier type (majoritaires). Le processus de placement pourra ainsi être accéléré, la plupart des cellules du premier type n'étant pas adjacentes à des cellules du deuxième type et ayant ainsi moins de contraintes de placement. Bien entendu, l'application de placement/routage pourra également 40 placer au préalable les cellules du premier type, puis modifier le placement de ces cellules pour introduire les cellules minoritaires du deuxième type.
Dans la conception du circuit intégré, les cellules minoritaires pourront par exemple être sélectionnées lorsque des contraintes de temps localisées apparaissent durant la synthèse logique du circuit intégré.
Les exemples illustrés aux figures 12 à 15 visent d'autre part à faciliter l'intégration de différents composants, tout en bénéficiant d'une grande aptitude à moduler les tensions de seuil des différents composants. Afin de favoriser leur intégration, les composants dans chacun de ces modes de réalisation disposent de plans de masse ménagés dans des caissons respectifs à dopage d'un premier type. Ce type de dopage est identique à celui du substrat semi-conducteur. Ces caissons sont séparés du substrat semi-conducteur par des caissons respectifs profondément enterrés à dopage d'un deuxième type, opposé au premier type. Les caissons à dopage du premier type sont séparés par un matériau isolant. Ainsi, au moins deux de ces composants peuvent disposer de plans de masse présentant des polarisations distinctes, sans nécessiter une importante polarisation négative du substrat semi-conducteur en vue d'éviter des jonctions p-n conductrices en direct. De plus, ces exemples sont particulièrement adaptés aux procédés de génération de topologie largement répandus pour la technologie Bulk. Dans ces exemples, on peut notamment utiliser les mêmes bibliothèques de cellules standard que pour la technologie Bulk. Au moment de la génération des masques, il suffit de réaliser des changements mineurs pour convertir les masques Bulk en des masques adaptés pour la technologie FDSOI.
La figure 12 illustre un circuit intégré 4 incluant d'une part une zone 4A comportant des cellules mémoires de type SRAM et d'autre part une zone 4B comportant des portes logiques. La zone 4A comporte notamment des transistors comportant chacun un empilement de grille 451 (correspondant à un pMOS), 452 ou 453 (correspondant à des nMOS). La zone 4B comporte notamment des transistors comportant chacun un empilement de grille 454, 455 (correspondant à des nMOS), 456 ou 457 (correspondant à des pMOS). Les transistors des zones 4A et 4B sont ménagés à l'aplomb d'une couche isolante enterrée ultra-mince 441. Les empilements de grille 451 à 457 sont ménagés à l'aplomb de plans de masse respectifs 431 à 437. Dans cet exemple, les plans de masse 431 à 434 et 436 comportent un dopage de type P et les plans de masse 435 et 437 comportent un dopage de type N. Les plans de masse 431 à 437 sont ménagés sur des caissons respectifs 421 à 427. Les caissons 421 à 427 comportent un dopage d'un même type, en l'occurrence de type P. Les caissons 421 à 423 sont ménagés sur un caisson profondément enterré 411 de type opposé aux caissons 421 à 423, en l'occurrence de type N. Les caissons 424 à 427 sont ménagés sur un caisson profondément enterré 412 de type opposé aux caissons 424 à 427, en l'occurrence de type N. Les caissons 411 et 412 sont ménagés dans le substrat semi-conducteur 401 de même type que les caissons 421 à 427, c'est-à-dire de type P. Les caissons 411 et 412 sont séparés l'un de l'autre par le substrat semi-conducteur 401. Les caissons profondément enterrés 411 et 412 peuvent ainsi être polarisés de façon distincte. Les plans de masse de la zone 4A peuvent par exemple être polarisés à des tensions différentes des plans de masse de la zone 4B. Une jonction de type N s'étend entre un plot de contact et le caisson profondément enterré 411. Cette jonction est ménagée entre deux tranchées d'isolation 461 et 462. Le plan de masse 431 et le caisson 421 sont ménagés entre des tranchées d'isolation 462 et 463 qui s'étendent depuis la couche d'isolation 441 jusqu'au caisson profondément enterré 411. Les plans de masse 432 et 433, ainsi que les caissons 422 et 423 sont ménagés entre la tranchée d'isolation 463 et la tranchée d'isolation 464 qui s'étend depuis la couche d'isolation 441 jusqu'au caisson profondément enterré 411. La polarisation du plan de masse 431 et du caisson 421 d'une part, et des plans de masse 432,433 et des caissons 422,423 d'autre part peut ainsi être dissociée. Une jonction de type N s'étend entre un plot de contact et le caisson profondément enterré 412. Cette jonction est ménagée entre deux tranchées d'isolation 467 et 468. Les plans de masse 436 et 437 et les caissons 426 et 427 sont ménagés entre la tranchée d'isolation 467 et une tranchée d'isolation 466 qui s'étend depuis la couche d'isolation 441 jusqu'au caisson profondément enterré 412. Les plans de masse 434 et 435 et les caissons 424 et 425 sont ménagés entre la tranchée d'isolation 466 et une tranchée d'isolation 465 qui s'étend depuis la couche d'isolation 441 jusqu'au caisson profondément enterré 412. La polarisation des plans de masse 436, 437 et des caissons 426,427 d'une part et des plans de masse 434,435 et des caissons 424,425 d'autre part peut ainsi être dissociée.
Les empilements 452 et 453 sont séparés par une tranchée d'isolation 471 s'étendant jusqu'aux plans de masse 432 et 433. Les plans de masse 432 et 433 peuvent ainsi partager une même polarisation. Les empilements 454 et 455 sont séparés par une tranchée d'isolation 472 s'étendant jusqu'aux plans de masse 434 et 435. Les plans de masse 434 et 435 peuvent ainsi partager une même polarisation. Les empilements 456 et 457 sont séparés par une tranchée d'isolation 473 s'étendant jusqu'aux plans de masse 436 et 437. Les plans de masse 436 et 437 peuvent ainsi partager une même polarisation. Le caisson profondément enterré 411 est polarisé à une tension Vddsl par l'intermédiaire d'une jonction de type N et d'un plot de contact. Le caisson profondément enterré 412 est polarisé à une tension Vdds2 par l'intermédiaire d'une jonction de type N et d'un plot de contact. Le plan de masse 434 (et donc le plan de masse 435) est polarisé à une tension Vb par l'intermédiaire d'un plot de contact. La polarisation des plans de masse 431 à 433 et 436, 437 n'est pas illustrée mais peut-être distincte de la polarisation Vb. Avec un tel circuit intégré 4 : -l'ensemble des transistors sont réalisés à l'aplomb de caissons d'un même type (P), ce qui facilite le processus de fabrication ; - du fait de l'utilisation de tranchées d'isolation profondes (qui s'étendent jusqu'aux caissons profondément enterrés) des polarisations distinctes peuvent être appliquées sur certains plans de masse adjacents, typiquement pour des transistors de types différents ; - du fait de l'utilisation de tranchées d'isolation peu profondes (qui s'étendent seulement jusqu'aux plan de masse) des polarisations identiques peuvent être appliquées sur certains plans de masse adjacents par l'intermédiaire d'un plot de contact commun, typiquement pour des transistors de même type ; - du fait de l'utilisation des caissons profondément enterrés 411 et 412, il n'est pas nécessaire d'appliquer une tension fortement négative sur le substrat 401 pour éviter des jonctions conductrices en direct. II est suffisant de s'assurer que la tension appliquée sur les caissons profondément enterrés 411 et 412 est supérieure à la tension maximale pouvant être appliquée sur les différents plans de masse. Une polarisation à la masse s'avère par exemple possible, et ce pour l'ensemble du circuit intégré 4 (ce qui rend la conception du circuit encore plus proche d'une conception de type Bulk) ; - les deux types de tranchées d'isolation distincts peuvent être obtenus au 25 moyen de seulement deux masques de gravure ; - l'isolation entre les caissons profondément enterrés 411 et 412 permet d'appliquer des polarisations différentes sur ceux-ci, afin de mieux isoler les cellules mémoires des portes logiques. Avec des valeurs suffisamment élevées des tensions de polarisation 30 Vddsl et Vdds2, on dispose d'une grande plage possible de variations des tensions de polarisation des plans de masse 431 à 437.
La figure 13 illustre un circuit intégré 4 selon une variante du circuit intégré de la figure 12. Cette variante diffère de la précédente par les 35 caractéristiques suivantes : - les tranchées d'isolation 461 à 468 s'étendent en profondeur jusqu'aux caissons 421 à 427, sans atteindre les caissons profondément enterrés 411 et 412 ; - le caisson 421 et le caisson 422 sont séparés latéralement par une 40 bande de semi-conducteur de type N. Le caisson 425 et le caisson 426 sont séparés latéralement par une bande de semi-conducteur de type N. Le caisson 423 et le caisson 424 sont séparés latéralement du substrat 401 par l'intermédiaire de bandes de semi-conducteurs respectives de type N. Les bandes de semi-conducteurs de type N assurant une séparation latérale sont typiquement des résidus de l'étape d'implantation des caissons profondément enterrés 411 et 412, ce qui permet d'éviter l'utilisation de masques de gravures spécifiques. Ces bandes de séparations latérales présentent une largeur suffisante pour éviter la formation de courts-circuits intempestifs.
Pour les exemples des figures 12 et 13, le procédé de génération automatisé de la topologie des masques de gravure peut être le suivant : on réalise initialement un modèle de synthèse logique du circuit intégré 4 à partir d'une bibliothèque de cellules standard de la technologie Bulk. Les rangées de transistors adjacentes comportent alors une alternance de caissons de type N et de caissons de type P. Le modèle de synthèse logique est ensuite transformé pour intégrer une couche isolante ultra-fine enterrée et des plans de masse. Des tranchées d'isolation peu profondes sont placées longitudinalement entre les transistors d'une même rangée. Des tranchées d'isolation profondes sont alors disposées entre les rangées à caisson de type N et les rangées à caisson de type P. Les caissons de type N sont ensuite systématiquement remplacés par des caissons de type P. Ces variantes peuvent ainsi être conçues avec des outils de conception connus en technologie Bulk, en utilisant des algorithmes de conversion particulièrement simples.
La figure 14 illustre un circuit intégré 4 selon encore une variante du circuit intégré de la figure 12. Cette variante diffère de celle de la figure 12 par les caractéristiques suivantes : - les tranchées d'isolation 461 à 468 s'étendent en profondeur jusqu'aux caissons 421 à 427, sans atteindre les caissons profondément enterrés 411 et 30 412 ; - les tranchées d'isolation 471 à 473 s'étendent en profondeur jusqu'aux caissons 421 à 427, sans atteindre les caissons profondément enterrés 411 et 412 ; - le caisson 421 et le caisson 422 sont séparés latéralement par une 35 bande de semi-conducteur de type N. Le caisson 425 et le caisson 426 sont séparés latéralement par une bande de semi-conducteur de type N. Le caisson 423 et le caisson 424 sont séparés latéralement du substrat 401 par l'intermédiaire de bandes de semi-conducteurs respectives de type N. Les bandes de semi-conducteurs de type N assurant une séparation 40 latérale sont typiquement des résidus de l'étape d'implantation des caissons profondément enterrés 411 et 412, ce qui permet d'éviter l'utilisation de masques de gravures spécifiques. Ces bandes de séparations latérales présentent une largeur suffisante pour éviter la formation de courts-circuits intempestifs.
Pour l'exemple de la figure 14, le procédé de génération automatisé de la topologie des masques de gravure peut être le suivant : on réalise initialement un modèle de synthèse logique du circuit intégré 4 à partir d'une bibliothèque de cellules standard de la technologie Bulk. Les rangées de transistors adjacentes comportent alors une alternance de caissons de type N et de caissons de type P. Le modèle de synthèse logique est ensuite transformé pour intégrer une couche isolante ultra-fine enterrée et des plans de masse. Des tranchées d'isolation profondes sont placées longitudinalement entre les transistors d'une même rangée. Des tranchées d'isolation profondes sont ensuite disposées entre les rangées à caisson de type N et les rangées à caisson de type P. Les caissons de type N sont ensuite systématiquement remplacés par des caissons de type P.
La figure 15 illustre un circuit intégré 4 selon une autre variante du circuit intégré de la figure 12. Cette variante diffère de celle de la figure 12 par les 20 caractéristiques suivantes : - les tranchées d'isolation 461 à 468 s'étendent en profondeur jusqu'aux plans de masse 431 à 437, sans atteindre les caissons 421 à 427 ; - le caisson 421 et le plan de masse 431 sont séparés latéralement du caisson 422 et du plan de masse 432 par une bande 481 de semi-conducteur 25 de type N. Le caisson 425 et le plan de masse 435 sont séparés latéralement du caisson 426 et du plan de masse 436 par une bande 484 de semi-conducteur de type N. - le caisson 423 et le plan de masse 433 sont séparés latéralement du substrat 401 par l'intermédiaire d'une bande 482 semi-conductrice de type N. Le 30 caisson 424 et le plan de masse 434 sont séparés latéralement du substrat 401 par l'intermédiaire d'une bande 483 semi-conductrice de type N ; - le plan de masse 435 est séparé latéralement de la bande 484 par l'intermédiaire d'une bande latérale 491 de type P (réalisée dans la même couche que le plan de masse 434). Le plan de masse 437 est séparé 35 latéralement de la jonction 414 par l'intermédiaire d'une bande latérale 492 de type P (réalisée dans la même couche que le plan de masse 436). Les bandes de séparations latérales 481, 484, 491 et 492 présentent une largeur suffisante pour éviter la formation de courts-circuits intempestifs.
40 Pour l'exemple de la figure 15, le procédé de génération automatisé de la topologie des masques de gravure peut être le suivant : on réalise initialement un modèle de synthèse logique du circuit intégré 4 à partir d'une bibliothèque de cellules standard de la technologie Bulk. Les rangées de transistors adjacentes comportent alors une alternance de caissons de type N et de caissons de type P. Le modèle de synthèse logique est ensuite transformé pour intégrer une couche isolante ultra-fine enterrée et des plans de masse. Des tranchées d'isolation peu profondes sont placées longitudinalement entre les transistors d'une même rangée et des tranchées d'isolation peu profondes sont disposées entre les rangées à caisson de type N et les rangées à caisson de type P. On place des séparateurs latéraux dopés N pour chaque rangée. On place un séparateur latéral de type P pour chaque plan de masse dopé N. Les caissons de type N sont ensuite systématiquement remplacés par des caissons de type P.
Dans les exemples des figures 12 à 15, avec une polarisation des caissons profondément enterrés à une tension Vdds, on peut moduler les tensions de polarisation des plans de masse des transistors de la façon suivante : Pour un nMOS : - en polarisation FBB, Vb est supérieur à 0. On polarise le plan de masse 20 à Vb=0 +AV, avec AV <_Vdds ; - en polarisation RBB, Vb est inférieur à 0. On polarise le plan de masse à Vb=0 -AV, avec AV <_IVbdI-Vdds, Vbd étant la tension de claquage en inverse de la jonction p-n ; Pour un pMOS : 25 -en polarisation FBB, Vb est inférieur à Vdd. On polarise le plan de masse à Vb=Vdd -AV, avec AV <_IVbdI -Vdds +Vdd ; - en polarisation RBB, Vb est supérieur à Vdd. On polarise le plan de masse à Vb=Vdd +AV, avec AV Vdds -Vdd.
30 La figure 16 illustre schématiquement une vue en coupe de dessus d'un circuit intégré 4 au niveau des caissons, ce circuit intégré étant réalisé selon la variante illustrée à la figure 12. Dans cet exemple, le circuit intégré 4 comporte une première zone 4A de cellules mémoire, une première zone 4B de portes logiques, une deuxième zone 4C de cellules mémoire et une deuxième zone 4D 35 de portes logiques. Le substrat 401 est polarisé à la masse. Les caissons profondément enterrés des zones 4A à 4D sont polarisés respectivement à Vddsl , Vdds2, Vdds3 et Vdds4. La figure 17 est une vue en coupe schématique de la zone 4D au niveau 40 des caissons. On distingue une zone 42 dopée P et formant les caissons de rangées r1 à r6 de transistors. On distingue les jonctions 414 à dopage N et destinées à polariser un caisson profondément enterré à dopage de type N. On distingue une zone 46 formant des tranchées d'isolation profonde, isolant les jonctions 414 du substrat 401 et isolant les rangées de transistors adjacentes jusqu'au caisson profondément enterré. Chaque rangée contient des transistors d'un même type, isolés par des tranchées d'isolation peu profondes. Les rangées adjacentes forment une alternance de transistors nMOS et pMOS. Les plots de contact 415 avec les plans de masse, bien que présents à un niveau supérieur, sont illustrés en pointillés sur cette figure dans un souci de compréhension.
La figure 18 est une vue en coupe schématique de la zone 4B au niveau des caissons. On distingue une zone 42 dopée P et formant les caissons de rangées r1 à r6 de transistors. On distingue les jonctions 414 à dopage N et destinées à polariser un caisson profondément enterré à dopage de type N. On distingue une zone 46 formant des tranchées d'isolation profonde, isolant les jonctions 414 du substrat 401 et isolant les rangées de transistors adjacentes jusqu'au caisson profondément enterré. Chaque rangée contient des transistors d'un même type, isolés par des tranchées d'isolation peu profondes. Les rangées adjacentes forment une alternance de transistors nMOS et pMOS.
Dans les rangées adjacentes r2 et r3, des transistors sont isolés du reste des transistors. Ces transistors comportent notamment des caissons 428 et 429 dopés P et séparés du reste de la zone 42 dopée P par l'intermédiaire de la zone 46 de tranchée d'isolation profonde. Ces caissons 428 et 429 comportent une polarisation spécifique, distincte de celle de la zone 42. Les caissons 428 et 429 sont ainsi polarisés par l'intermédiaire de plots de contact 416. Ainsi, des transistors à tensions de seuil spécifiques peuvent être réalisés à l'aplomb des caissons 428 et 429.
Pour la zone 4B de l'exemple de la figure 18, le procédé de génération automatisé de la topologie des masques de gravure peut être le suivant : on réalise initialement un modèle de synthèse logique du circuit intégré 4 à partir d'une bibliothèque de cellules standard de la technologie Bulk. Les rangées de transistors adjacentes comportent alors une alternance de caissons de type N et de caissons de type P. Le modèle de synthèse logique est ensuite transformé pour intégrer une couche isolante ultra-fine enterrée et des plans de masse. Des tranchées d'isolation peu profondes sont placées longitudinalement entre les transistors d'une même rangée. Des tranchées d'isolation profondes sont alors disposées entre les rangées à caisson de type N et les rangées à caisson de type P. Des tranchées d'isolation profondes sont ensuite disposées autour des zones spécifiques (définies par les caissons 428 et 429). Les caissons de type N sont ensuite systématiquement remplacés par des caissons de type P.
Les structures illustrées aux figures 12 à 15 sont avantageusement mises en oeuvre pour des cellules mémoires SRAM. Ces structures permettent en effet d'assurer une polarisation différente des plans de masse des différents transistors de la cellule mémoire. Pour l'exemple d'une cellule mémoire SRAM de type 6T telle qu'illustrée à la figure 19 : -en mode lecture, on cherche à augmenter la tension de seuil des transistors nMOS et à abaisser la tension de seuil des transistors pMOS. A cet effet, on peut réaliser une polarisation VBn de type RBB sur les plans de masse des transistors nMOS de la cellule, en passant d'une polarisation à la masse à une polarisation à -Vdd. On réalise une polarisation VBp de type FBB sur les plans de masse des transistors pMOS de la cellule, en passant d'une polarisation à Vdd à une polarisation à la masse ; -en mode lecture, on cherche à augmenter la tension de seuil des transistors pMOS et à abaisser la tension de seuil des transistors nMOS. A cet effet, on peut réaliser une polarisation VBn de type FBB sur les plans de masse des transistors nMOS de la cellule, en passant d'une polarisation à la masse à une polarisation à +Vdd. On réalise une polarisation VBp de type RBB sur les plans de masse des transistors pMOS de la cellule, en passant d'une polarisation à Vdd à une polarisation à 2*Vdd. Bien que l'invention ait été décrite avec des transistors à métaux de grille présentant des travaux de sortie identiques, les tensions de seuil de ces transistors peuvent également être modifiées en formant des grilles avec des métaux présentant des travaux de sortie distincts. Bien que les différentes couches illustrées dans les exemples (plans de masse, caissons, caissons profondément implantés) sont illustrées comme nettement dissociées des zones présentant de mêmes types de dopage dans des couches distinctes peuvent bien entendu provenir d'une même étape du processus de fabrication.

Claims (8)

  1. REVENDICATIONS1. Circuit intégré (2) comprenant un empilement d'un substrat semi-conducteur (201) d'un premier type de dopage (P), d'une couche isolante enterrée (203) et d'une couche semi-conductrice, et comprenant : - des premier et deuxième composants électroniques formés dans et/ou sur ladite couche semi-conductrice : - des premier et deuxième plans de masse disposés sous la couche isolante enterrée à l'aplomb respectivement des premier et deuxième composants électroniques ; - des premier et deuxième caissons du premier type de dopage disposés respectivement sous les premier et deuxième plans de masse ; Caractérisé en ce que les premier et deuxième caissons sont séparés du substrat semi-conducteur par un caisson profond d'un second type de dopage; Et caractérisé en ce que les premier et deuxième caissons sont séparés l'un de l'autre par un caisson latéral présentant le deuxième type de dopage et/ou par un bloc constitué d'un matériau isolant ; Et caractérisé en ce qu'il comprend un circuit de polarisation configuré pour appliquer des tensions distinctes aux premier et deuxième caissons.
  2. 2. Circuit intégré selon la revendication 1, dans lequel les premier et deuxième caissons sont séparés l'un de l'autre par un bloc constitué d'un matériau isolant.
  3. 3. Circuit intégré selon la revendication 2, dans lequel ledit bloc s'étend jusqu'au caisson profondément enterré.
  4. 4. Circuit intégré selon la revendication 2, dans lequel ledit bloc s'étend 30 jusqu'aux premier et deuxième caissons mais pas jusqu'au caisson profondément enterré, et dans lequel ledit bloc surplombe le caisson latéral présentant le deuxième type de dopage et séparant les premier et deuxième caissons. 35
  5. 5. Circuit intégré selon la revendication 1, dans lequel les premier et deuxième caissons sont séparés l'un de l'autre par un caisson latéral présentant le deuxième type de dopage.
  6. 6. Circuit intégré selon la revendication 5, dans lequel un bloc de matériau 40 isolant sépare les premier et deuxième composants électroniques au niveau de la couche semi-conductrice, ledit bloc de matériau isolant étant formé à25l'aplomb dudit caisson latéral, le caisson latéral s'étendant de la couche isolante enterrée jusqu'au caisson profondément enterré.
  7. 7. Circuit intégré selon l'une quelconque des revendications précédentes, dans lequel ledit premier composant électronique est un premier transistor de type FDSOI et dans lequel ledit deuxième composant électronique est un deuxième transistor de type FDSOI, la couche isolante enterrée étant de type UTBOX, un parmi le premier ou le deuxième transistor étant de type nMOS, l'autre parmi le premier ou le deuxième transistor étant de type pMOS.
  8. 8. Circuit intégré selon la revendication 7, comprenant : - un troisième transistor formé dans et/ou sur ladite couche semi-conductrice et du même type que le deuxième transistor ; - un troisième plan de masse disposé sous la couche isolante enterrée à l'aplomb du troisième composant électronique ; - un troisième caisson du premier type de dopage disposé sous le troisième plan de masse dans la continuité du deuxième caisson. 12. Circuit intégré selon la revendication 8, dans lequel le troisième plan de masse est d'un type opposé au deuxième plan de masse. 13. Circuit intégré selon la revendication 8, dans lequel le troisième plan de masse est du même type que le deuxième plan de masse. 11. Circuit intégré selon la revendication 9 ou 10, dans lequel un bloc de matériau isolant sépare les deuxième et troisième transistors au niveau de la couche semi-conductrice. 12. Circuit intégré selon la revendication 11, dans lequel ledit bloc de matériau isolant n'atteint pas les deuxième et troisième caissons. 13. Circuit intégré selon les revendications 5 et 12, dans lequel le premier plan de masse présente le deuxième type de dopage et est séparé de la bande latérale par une bande latérale additionnelle présentant le premier type de dopage. 14. Circuit intégré selon la revendication 11, dans lequel ledit bloc de matériau isolant atteint les deuxième et troisième caissons mais n'atteint pas le caisson profondément enterré.4015. Circuit intégré selon l'une quelconque des revendications précédentes, dans lequel les premier et deuxième composants électroniques sont des premier et deuxième transistors appartenant à une cellule mémoire SRAM. 16. Circuit intégré selon l'une quelconque des revendications précédentes, dans lequel la couche isolante enterrée (203) présente une épaisseur inférieure à 50nm et dans lequel la largeur de la grille desdits transistors est inférieure à 50nm.
FR1158545A 2011-05-24 2011-09-26 Circuit integre realise en soi comprenant des cellules adjacentes de differents types Expired - Fee Related FR2975828B1 (fr)

Priority Applications (4)

Application Number Priority Date Filing Date Title
FR1158545A FR2975828B1 (fr) 2011-05-24 2011-09-26 Circuit integre realise en soi comprenant des cellules adjacentes de differents types
PCT/EP2012/059519 WO2012160071A1 (fr) 2011-05-24 2012-05-22 Circuit integre realise en soi comprenant des cellules adjacentes de differents types
US14/118,955 US8969967B2 (en) 2011-05-24 2012-05-22 Self-contained integrated circuit including adjacent cells of different types
EP12721876.6A EP2715789A1 (fr) 2011-05-24 2012-05-22 Circuit integre realise en soi comprenant des cellules adjacentes de differents types

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR1154520A FR2975803B1 (fr) 2011-05-24 2011-05-24 Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR1158545A FR2975828B1 (fr) 2011-05-24 2011-09-26 Circuit integre realise en soi comprenant des cellules adjacentes de differents types

Publications (2)

Publication Number Publication Date
FR2975828A1 true FR2975828A1 (fr) 2012-11-30
FR2975828B1 FR2975828B1 (fr) 2014-01-10

Family

ID=45319293

Family Applications (2)

Application Number Title Priority Date Filing Date
FR1154520A Expired - Fee Related FR2975803B1 (fr) 2011-05-24 2011-05-24 Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR1158545A Expired - Fee Related FR2975828B1 (fr) 2011-05-24 2011-09-26 Circuit integre realise en soi comprenant des cellules adjacentes de differents types

Family Applications Before (1)

Application Number Title Priority Date Filing Date
FR1154520A Expired - Fee Related FR2975803B1 (fr) 2011-05-24 2011-05-24 Circuit integre realise en soi comprenant des cellules adjacentes de differents types

Country Status (4)

Country Link
US (1) US8969967B2 (fr)
EP (1) EP2715789A1 (fr)
FR (2) FR2975803B1 (fr)
WO (1) WO2012160071A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4195905A1 (fr) 2021-12-13 2023-06-14 Commissariat à l'énergie atomique et aux énergies alternatives Dispositif de pilotage de transistors et procédé de pilotage

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2996956B1 (fr) * 2012-10-12 2016-12-09 Commissariat Energie Atomique Circuit integre comportant des transistors avec des tensions de seuil differentes
FR2999746B1 (fr) 2012-12-13 2018-04-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de generation d'une topographie d'un circuit integre fdsoi
FR3000295B1 (fr) * 2012-12-26 2015-02-27 Commissariat Energie Atomique Circuit integre comprenant une cellule d'arbre d'horloge
FR3000296B1 (fr) 2012-12-26 2015-02-27 Commissariat Energie Atomique Circuit integre comprenant une cellule d'arbre d'horloge
WO2014131459A1 (fr) * 2013-02-28 2014-09-04 Commissariat à l'énergie atomique et aux énergies alternatives Circuit intégré à double sti à faibles pertes comprenant des transistors fdsoi
US9570465B2 (en) * 2013-02-28 2017-02-14 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dual STI integrated circuit including FDSOI transistors and method for manufacturing the same
FR3003685B1 (fr) 2013-03-21 2015-04-17 St Microelectronics Crolles 2 Procede de modification localisee des contraintes dans un substrat du type soi, en particulier fd soi, et dispositif correspondant
FR3003690A1 (fr) * 2013-03-22 2014-09-26 Commissariat Energie Atomique Circuit integre sur soi comprenant une matrice de cellules de memoire vive et un circuit peripherique accole
FR3003996B1 (fr) 2013-03-28 2015-04-24 Commissariat Energie Atomique Procede de commande d'un circuit integre
FR3006809A1 (fr) * 2013-06-07 2014-12-12 St Microelectronics Sa Polarisation d'une cellule mos realisee dans une technologie fdsoi
US8987825B2 (en) 2013-06-10 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a double deep well
FR3007577B1 (fr) * 2013-06-19 2015-08-07 Commissariat Energie Atomique Transistors avec differents niveaux de tensions de seuil et absence de distorsions entre nmos et pmos
FR3013148A1 (fr) * 2013-11-13 2015-05-15 St Microelectronics Sa Procede de polarisation de transistors mos realises selon la technologie fdsoi
US9293450B2 (en) * 2014-07-22 2016-03-22 Freescale Semiconductor, Inc. Synthesis of complex cells
FR3025653B1 (fr) * 2014-09-10 2017-12-22 Commissariat Energie Atomique Dispositif a cellules memoires sram comportant des moyens de polarisation des caissons des transistors de lecture des cellules memoires
US9842184B2 (en) 2015-09-11 2017-12-12 Globalfoundries Inc. Method, apparatus and system for using hybrid library track design for SOI technology
DE102016208588A1 (de) * 2015-09-11 2017-03-16 Globalfoundries Inc. Verfahren, Vorrichtung und System zur Verwendung eines Hybridbibliothek-leiterbahndesigns für SOI-Technologien
US10199461B2 (en) * 2015-10-27 2019-02-05 Texas Instruments Incorporated Isolation of circuit elements using front side deep trench etch
US10096595B2 (en) * 2015-10-28 2018-10-09 Globalfoundries Inc. Antenna diode circuit for manufacturing of semiconductor devices
FR3048304B1 (fr) 2016-02-25 2019-03-15 Stmicroelectronics Sa Puce electronique a transistors a grilles avant et arriere
US9831272B2 (en) 2016-03-31 2017-11-28 Qualcomm Incorporated Metal oxide semiconductor cell device architecture with mixed diffusion break isolation trenches
US10002800B2 (en) 2016-05-13 2018-06-19 International Business Machines Corporation Prevention of charging damage in full-depletion devices
FR3054374B1 (fr) * 2016-07-22 2018-08-17 Commissariat Energie Atomique Circuit integre comportant des transistors a tensions de seuil distinctes
US10790272B2 (en) 2017-08-02 2020-09-29 Qualcomm Incorporated Manufacturability (DFM) cells in extreme ultra violet (EUV) technology
KR102495516B1 (ko) * 2018-05-08 2023-02-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11329732B1 (en) 2019-10-23 2022-05-10 Vayyar Imaging Ltd. Systems and methods for improving radio frequency integrated circuits
US11972983B2 (en) 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11973120B2 (en) * 2020-06-24 2024-04-30 Etron Technology, Inc. Miniaturized transistor structure with controlled dimensions of source/drain and contact-opening and related manufacture method
US11855218B2 (en) 2020-09-09 2023-12-26 Etron Technology, Inc. Transistor structure with metal interconnection directly connecting gate and drain/source regions
FR3118282B1 (fr) * 2020-12-17 2022-12-30 St Microelectronics Crolles 2 Sas Ensemble de cellules precaracterisees integrees

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063686A (en) * 1993-11-05 2000-05-16 Masuda; Hiroo Method of manufacturing an improved SOI (silicon-on-insulator) semiconductor integrated circuit device
US6072217A (en) * 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US20060027877A1 (en) * 2004-08-05 2006-02-09 Kabushiki Kaisha Toshiba Semiconductor device with triple-well region
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US20070063284A1 (en) * 2005-08-01 2007-03-22 Renesas Technology Corp. Semiconductor device and semiconductor integrated circuit using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063686A (en) * 1993-11-05 2000-05-16 Masuda; Hiroo Method of manufacturing an improved SOI (silicon-on-insulator) semiconductor integrated circuit device
US6072217A (en) * 1998-06-11 2000-06-06 Sun Microsystems, Inc. Tunable threshold SOI device using isolated well structure for back gate
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US20060027877A1 (en) * 2004-08-05 2006-02-09 Kabushiki Kaisha Toshiba Semiconductor device with triple-well region
US20070063284A1 (en) * 2005-08-01 2007-03-22 Renesas Technology Corp. Semiconductor device and semiconductor integrated circuit using the same

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
JEAN-PHILIPPE NOEL ET AL: "Multi- $V_{T}$ UTBB FDSOI Device Architectures for Low-Power CMOS Circuit", IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE SERVICE CENTER, PISACATAWAY, NJ, US, vol. 58, no. 8, 1 August 2011 (2011-08-01), pages 2473 - 2482, XP011336322, ISSN: 0018-9383, DOI: 10.1109/TED.2011.2155658 *
J-P NOEL ET AL: "UT2B-FDSOI device architecture dedicated to low power design techniques", SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC), 2010 PROCEEDINGS OF THE EUROPEAN, IEEE, PISCATAWAY, NJ, USA, 14 September 2010 (2010-09-14), pages 210 - 213, XP031787588, ISBN: 978-1-4244-6658-0 *
O. THOMAS ET AL: "32nm and beyond Multi-V<inf>T</inf> Ultra-Thin Body and BOX FDSOI: From device to circuit", PROCEEDINGS OF 2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, 1 May 2010 (2010-05-01), pages 1703 - 1706, XP055011534, ISBN: 978-1-42-445308-5, DOI: 10.1109/ISCAS.2010.5537517 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4195905A1 (fr) 2021-12-13 2023-06-14 Commissariat à l'énergie atomique et aux énergies alternatives Dispositif de pilotage de transistors et procédé de pilotage
FR3130449A1 (fr) * 2021-12-13 2023-06-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dispositif de pilotage de transistors et procédé de pilotage

Also Published As

Publication number Publication date
WO2012160071A1 (fr) 2012-11-29
US8969967B2 (en) 2015-03-03
EP2715789A1 (fr) 2014-04-09
FR2975828B1 (fr) 2014-01-10
FR2975803B1 (fr) 2014-01-10
FR2975803A1 (fr) 2012-11-30
US20140077300A1 (en) 2014-03-20

Similar Documents

Publication Publication Date Title
FR2975828A1 (fr) Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR2999746A1 (fr) Procede de generation d&#39;une topographie d&#39;un circuit integre fdsoi
JP6029885B2 (ja) バッファ付きフィンfetデバイス
US8482070B1 (en) Silicon-on-insulator CMOS integrated circuit with multiple threshold voltages and a method for designing the same
EP2535932B1 (fr) Puce de circuit intégré comprenant un dispositif de protection contre des attaques
EP2750180B1 (fr) Circuit intégré comprenant une cellule d&#39;arbre d&#39;horloge
US20140077270A1 (en) Integrated circuit
US20120032274A1 (en) Vertically Stacked FETs With Series Bipolar Junction Transistor
US10103075B2 (en) Semiconductor device and manufacturing method thereof
EP3605543B1 (fr) Procédé de détection d&#39;une attaque par un faisceau de particules électriquement chargées sur un circuit intégré, et circuit intégré correspondant
KR101547390B1 (ko) 케스케이드 mos 트랜지스터를 포함하는 반도체 장치
US20180226339A1 (en) Three plate mim capacitor via integrity verification
FR2980640A1 (fr) Circuit integre en technologie fdsoi avec partage de caisson et moyens de polarisation des plans de masse de dopage opposes presents dans un meme caisson
CN107533980B (zh) 存储器单元、半导体集成电路装置及半导体集成电路装置的制造方法
EP2750179B1 (fr) Circuit intégré comprenant une cellule d&#39;arbre d&#39;horloge
KR20230041020A (ko) 상보적 전계 효과 트랜지스터(cfet)에서 전기적 단락을 방지하기 위한 자기-제한적 제조 기술들
WO2014057112A1 (fr) Circuit integre comportant des transistors avec des tensions de seuil differentes
FR3025335A1 (fr) Procede de fabrication d&#39;un circuit integre rendant plus difficile une retro-conception du circuit integre et circuit integre correspondant
EP1531409A2 (fr) Procédé de vérification d&#39;un circuit intégré
EP1586118B1 (fr) Condensateur enterre associe a une cellule sram
CN112836462B (zh) 标准单元制备方法、标准单元、集成电路及系统芯片
CN109686734A (zh) 具有隔离结构的芯片
US20090121357A1 (en) Design structure for bridge of a seminconductor internal node
FR2995139A1 (fr) Transistor mos
US9472570B2 (en) Diode biased body contacted transistor

Legal Events

Date Code Title Description
ST Notification of lapse

Effective date: 20160531