WO2014057112A1 - Circuit integre comportant des transistors avec des tensions de seuil differentes - Google Patents

Circuit integre comportant des transistors avec des tensions de seuil differentes Download PDF

Info

Publication number
WO2014057112A1
WO2014057112A1 PCT/EP2013/071340 EP2013071340W WO2014057112A1 WO 2014057112 A1 WO2014057112 A1 WO 2014057112A1 EP 2013071340 W EP2013071340 W EP 2013071340W WO 2014057112 A1 WO2014057112 A1 WO 2014057112A1
Authority
WO
WIPO (PCT)
Prior art keywords
cell
transistors
type
transistor
doping
Prior art date
Application number
PCT/EP2013/071340
Other languages
English (en)
Inventor
Bastien Giraud
Philippe Flatresse
Jean-Philippe Noel
Bertrand PELLOUX-PRAYER
Original Assignee
Commissariat à l'énergie atomique et aux énergies alternatives
Stmicroelectronics Sa
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat à l'énergie atomique et aux énergies alternatives, Stmicroelectronics Sa filed Critical Commissariat à l'énergie atomique et aux énergies alternatives
Priority to US14/435,004 priority Critical patent/US9911737B2/en
Publication of WO2014057112A1 publication Critical patent/WO2014057112A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]

Definitions

  • the invention relates to an integrated circuit, and in particular an integrated circuit made on a silicon-on-insulator type substrate (called SOI, for "Silicon On Insulator” in English).
  • SOI silicon-on-insulator type substrate
  • the invention also relates to a method of using this integrated circuit.
  • SOI technology consists of separating a thin layer of silicon (a few nanometers) on a silicon substrate by a relatively thick insulating layer (a few tens of nanometers as a rule).
  • Integrated circuits made in SOI technology have a number of advantages. Such circuits generally have lower power consumption for equivalent performance. Such circuits also induce lower junction capabilities, which improve the switching speed. In addition, the phenomenon of latchup in the English language encountered by metal-oxide-semiconductor (MOS) transistors in bulk technology can be avoided. Such circuits are therefore particularly suitable for applications such as SoC ("Systems on Chip” in English) or MEMS ("Micro Electro-Mechanical Systems" in English). It can also be seen that the SOI integrated circuits are less sensitive to the effects of ionizing radiation and thus prove more reliable in applications where such radiations can induce operating problems, especially in space applications.
  • the SOI integrated circuits can notably comprise SRAM ("static random access memory”) type of random memories and logic gates.
  • threshold voltage in English, and abbreviated V T
  • V T threshold voltage
  • the modulation of the threshold voltage level of transistors of the same type is performed by differentiating the doping level of their channel.
  • the LVT transistors have a threshold voltage of less than or equal to 350mV, the HVT transistors greater than or equal to 500mV and the RVT transistors of between 350mV and 500mV.
  • Such transistors having different threshold voltages can be integrated within the same integrated circuit.
  • Such co-integration notably makes it possible to benefit from several threshold voltage ranges, as well as from a better operating flexibility of the circuit.
  • this co-integration can lead to a degradation of electrical performance of the circuit, or induce violations of design rules during circuit design steps.
  • the transistors are placed in the circuit within so-called standard cells.
  • These standard cells generally each comprise only transistors of a given threshold voltage level.
  • These cells are typically placed next to each other, so as to form rows of cells, parallel to each other.
  • this circuit comprises one or more characteristics of the dependent claims of this circuit.
  • FIG. 1 illustrates a cross-sectional view of a cell of an integrated circuit for a first embodiment of the invention
  • FIG. 2 illustrates a cross-sectional view of another cell of an integrated circuit for the first embodiment of the invention
  • FIG. 3 schematically illustrates a view in longitudinal section of a row of an integrated circuit containing the cells of FIGS. 1 and 2;
  • FIG. 4 schematically illustrates a view in longitudinal section of a row of an integrated circuit according to the state of the art.
  • the invention aims at facilitating the co-integration, in an integrated circuit, of first and second standard cells comprising transistors having distinct threshold voltages.
  • the cells each have at least one nMOS transistor and a pMOS transistor, the nMOS transistors being aligned, the pMOS transistors being also aligned.
  • the transistors of the first cell have the same voltage level of threshold.
  • the transistors of the second cell have the same level of threshold voltage, different from the level of the first cell.
  • FIG. 1 represents a first LVT type cell 2 of an integrated circuit 4 represented in FIG. 3.
  • This circuit 4 comprises a semiconductor substrate 6.
  • This substrate 6 here has a p-type doping with a dopant concentration of less than or equal to at 16 cm “3 or 3" 10 15 cm “3 .
  • the circuit 4 further comprises a bias circuit 5 for applying electric polarizations. For simplicity, only a portion of this circuit 5 is shown in Figure 1, schematically.
  • Cell 2 comprises:
  • the transistor 10 is here of p type (pMOS )
  • the transistor 12 is here of type n (nMOS);
  • semiconductor boxes 20 and 22 situated respectively beneath the ground planes 16 and 18 and extending parallel to the substrate 6.
  • Transistors 10 and 12 are made according to FDSOI technology.
  • the transistor 10 thus comprises a semiconductor layer 24, called an active layer, surmounted by a gate stack 26.
  • This layer 24 forms a channel 25 between a source and a drain of the transistor 10.
  • this layer 24 does not is not described in detail in Figure 1.
  • this layer 24 is in a depleted state in English language and has a very low doping level, typically less than or equal to 10 15 cm -3 .
  • the thickness and the depth are defined with respect to a direction perpendicular to a plane in which the substrate 6 extends.
  • Channel 25 has, for the technological node 28 nm ("technology node” in English), a channel length ("channel length” in English) of 24 nm.
  • the stack 26 comprises in particular a grid 28.
  • This gate 28 is here made by depositing a layer of titanium nitride (TiN) 20nm thick.
  • This grid 28 has for example an intermediate output work ("midgap" in English), for example equal to 4.65 eV.
  • the layer 14 electrically isolates, in particular, the layer 24 of the ground plane 16. This layer 14 extends substantially parallel to the substrate 6.
  • the layer 14 is here of ultrafine type, called UTBOX (for "Ultra-Thin Buried Oxide Layer” in the English language).
  • This layer 14 advantageously has a thickness of less than 50 nm and, preferably, less than or equal to 25 nm.
  • electrically insulating material is meant here a material whose electrical resistivity, measured at a temperature of 20 ° C, is greater than or equal to 10 5 Qm or 10 6 Qm
  • this layer 14 is made of silicon oxide ( SiO 2 ). The combination of such a layer 14 with a bias applied on the ground planes 16 and 18 makes it possible to influence the threshold voltage of the transistors 10 and 12.
  • the ground plane 16 makes it possible to control the electrostatic properties of the transistor 10, by applying an electric potential on this ground plane 16.
  • the ground plane 16 extends parallel to the substrate 6, under the layer 14, and is placed directly above the transistor 10.
  • This ground plane 16 is also situated directly and only on the caisson 20, so that the electric polarization of this ground plane 16 can be applied via the caisson 20.
  • the casing 20 has an n-type doping with a dopant concentration of between 10 16 and 18 cm- 3
  • the mass plane 16 has a doping of the same type as the doping of the casing 16.
  • the concentration of dopants of the ground plane 16 is here between 10 18 and 10 20 cm "3.
  • This ground plane 16 here has a thickness of between 50 nm and 300 nm and preferably between 70 nm and 200 nm.
  • the ground plane 16 and the caisson 20 are here made from the same semiconductor material, for example silicon, by applying distinct steps of ion implantation.
  • Each of the ground plane 16 and the box 20 here has a distinct doping profile.
  • the cell 2 further comprises isolation trenches 30 and 32 ("shallow trench isolation" in English), for electrically isolating the transistors 10, 12 from each other.
  • isolation of transistors 10 and 12 here means that the ground planes 16 and 18 are in particular isolated electrically from each other.
  • the trench 30 electrically isolates the transistors 10, 12 from outside the cell 2.
  • the trench 30 surrounds the cell 2 all around its outer periphery.
  • Trench 32 makes it possible to electrically isolate between them transistors 10 of 12 of cell 2.
  • trench 32 is situated between transistors 10 and 12.
  • These trenches 30 and 32 extend, perpendicularly to substrate 6, from an upper face of the layer 14 to a lower end, located below the interface separating the ground plane 16 of the box 20.
  • These trenches 30 and 32 are made of an electrically insulating material.
  • these trenches 30 and 32 are made of silicon oxide.
  • Transistor 12 is similar to transistor 10:
  • the dopant concentrations are similar to those of transistor 10;
  • the transistor 12 comprises a semiconductor layer surmounted by a gate stack, this layer forming a channel between a source and a drain of the transistor 12.
  • the transistor 12 is, however, of type n (nMOS). With the exception of this difference, all that has been described with reference to transistor 10 applies to transistor 12.
  • the bias circuit 5 is here configured to electrically bias the boxes 20 and 22 to a supply voltage VDD of the circuit 4.
  • This circuit 5 comprises, for example, a voltage generator configured to provide specific electrical voltages from an electrical GND mass and the supply voltage VDD of the circuit 4.
  • the bias circuit 5 can also be configured to provide specific electrical voltages different from Vdd and Gnd, for example Vdds and Gnds.
  • transistors 10 and 12 both have RVT type threshold voltages (for "Regular V T " in English). For example, these threshold voltages are between 350mV and 500mV.
  • the threshold voltage values of the transistors 10 and 12 are obtained, in particular, by virtue of the choice of doping of the ground planes 16 and 18 and of the caissons 20 and 22, by virtue of the choice of the polarization voltage of these ground planes, and because of the use of a layer 14 of the UTBOX type.
  • the cell 2 can be used for its low energy consumption properties.
  • the levels of the threshold voltages of the transistors 10 and 12 are identical, but because of the doping type choices of the ground planes 16 and 18 and their bias voltage, the amplitude of the threshold voltage of the Transistor 10 will be slightly greater than the amplitude of the threshold voltage of transistor 12.
  • Transistor 10 corresponds in fact to a known structure of RVT transistor type FBB polarization integral (for "Full Forward Back Biasing" in English). ). We can for example consider that two threshold voltages are of the same level if their amplitude differs only from less than 30mV.
  • FIG. 2 represents a second RVT type cell 40 for a consumption / speed compromise of the circuit 4.
  • This cell 40 is identical to the cell 2, except that:
  • transistors 10 and 12 are replaced, respectively, by transistors 42 and 44,
  • ground planes 16 and 18 are replaced, respectively, by ground planes 46 and 48,
  • caissons 20 and 22 are replaced, respectively, by caissons
  • the ground plane 46 and the caisson 50 have a doping of the same type, this doping being of a type opposite to the doping of the ground plane 16 and the caisson 20.
  • the ground plane 46 and the caisson Both have p-type doping.
  • ground plane 48 and the caisson 52 have a doping of the same type, this doping being of the same type as the doping of the ground plane 18 and the caisson 22.
  • the ground plane 48 and the box 52 both have n-type doping.
  • the bias circuit 5 is here configured to electrically bias the box 50 to GND ground and the box 52 to the voltage VDD.
  • the threshold voltage of the transistor 42 is of the LVT type. For example, these threshold voltages are less than or equal to 350mV.
  • the transistor 44 Because of one of the biasing of the box 52 to VDD, in combination with an n-type doping, the transistor 44 has a Full FBB type bias and would thus normally have a RVT type configuration.
  • a threshold voltage compensation technology parameter is used on this transistor 44. The presence of this technological parameter allows a compensation of the threshold voltage which here has a cell balancing effect.
  • the compensation technology parameter may for example be the channel length of transistor 44 ("poly bias" in English).
  • the channel of the transistor 44 has a reduced length.
  • the channel 25 is present here a reduced channel length of 4nm or 6nm or 10nm compared to a length of standard channel for a pMOS transistor, for example the length of the channel of the transistor 10.
  • the transistor 44 thus has a channel length strictly less than 24 nm.
  • This reduction in the channel length modifies the threshold voltage of the transistor 44, thanks to the so-called short channel effect ("Short Channel Effect"). This modification thus compensates for the change in value of the threshold voltage induced by the setting VDD of the box 52.
  • the threshold voltage value of the transistor 44 is thus of the same level as the threshold voltage of the transistor 42, although its amplitude can be slightly lower.
  • the technological compensation parameter can also be the choice of the gate material, and in particular the value of its output work.
  • FIG. 3 represents a row 60 of the circuit 4.
  • This row 60 here has a rectilinear shape and extends parallel to the substrate 6.
  • This row 60 comprises a plurality of cells and, in particular, cells 2 and 40.
  • a cell 2 and a cell 40 are here next to each other, contiguously, within the row 60, so that the trench 32 extends parallel to the row 60.
  • the transistors 10 and 42, pMOS type are aligned with each other in a direction parallel to the row 60.
  • the nMOS transistors 12 and 44 are aligned with each other in another direction, also parallel to the row 60. For simplicity, only the boxes and the respective transistors of these cells 2 and 40 are shown in FIG.
  • Such a singular point 82 appears on an interface line between an n doped box and a p-doped box for the nMOS and between a p-doped box and an n doped box for the pMOS, the doping forming a checkerboard pattern as is schematically illustrated in Figure 4 for a row 80 according to the state of the art.
  • Such an arrangement can thus be drawn during design stages of the circuit, but does not satisfy the commonly used standard design rules ("Design Rule Manual").
  • Design Rule Manual Such an arrangement may indeed give rise to undesirable results during the manufacture of the circuit 4.
  • such an arrangement may favor the appearance of leakage currents that may result from the formation of a pn junction polarized in a forward direction between these subwoofers.
  • V1 this polarization.
  • An electric bias V2 is further applied by the circuit 5 to the box 50 of the row.
  • the polarization V2 here has a value different from V1.
  • V1 is equal to VDD.
  • the polarization V2 is here chosen equal to GND.
  • the row 60 it is possible to coexist, within this row, cells containing transistors with distinct threshold voltages, by eliminating the possibility of occurrence of singular points within this row. .
  • the choice of doping and electric polarizations of the respective caissons of these cells 2 and 40 makes it possible to join them to one another within the row 60, while limiting the risk of occurrence of leakage currents between these boxes.
  • Each of the cells 2 and 40 may contain more than one transistor of each type pMOS or nMOS.
  • cell 2 includes several transistors These additional transistors are all placed above the ground plane 16, so that their respective threshold voltages can be modified by the application of an electric polarization on the caisson 20. the same goes for the transistor 12.
  • the circuit 5 can directly use the electrical voltages VDD and GND present in the circuit 4.
  • the bias value V2 may be different from V1.
  • V1 may be different from VDD or GND.
  • the electric polarization of the box 50 is provided via the substrate 6, which has a doping of the same type.
  • the circuit 5 is for example electrically connected to the substrate 6 to apply the bias V2 on the substrate 6 so that this bias is applied to the box 50. This reduces the number of electrical contacts.
  • the electric polarization of this caisson 50 can be achieved by means of a deeply buried caisson, arranged under and in line with the caisson 50.
  • the technological parameter of compensation of the threshold voltage level of the transistor 44 can be realized differently.
  • this compensation is achieved by replacing the gate 28 of the transistor 44 with a gate having a material whose output work is different from the output work of the gate 28.
  • the gate 28 comprises a metal presenting a work function. P-type output equal to 4.85eV, or an N-type output work with an output of 4.45eV.
  • the compensation technology parameter can be achieved by changing a few nanometers that width of the gate 28.
  • the compensation parameter can be applied by adapting the electric polarization commonly applied to the caissons 20, 22 and 52.
  • VDD-AV bias with AV equal to 0.5 V, at instead of applying the VDD polarization.
  • the GND and VDD voltages can for example be modulated by a value + AV upwards or downwards.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Un circuit intégré (4) comporte des première et seconde cellules, comportant chacune des premier (10, 44) et second (12, 42) transistors FDSOI. Selon l'invention : -les première et seconde cellules sont accolées entre elles; -des premier (20) et second (22) caissons de la première cellule et un premier caisson (52) de la seconde cellule présentent un dopage d'un premier type, un second caisson (50) de la seconde cellule présente un dopage opposé -le circuit comprend un dispositif de commande (5) pour appliquer une même polarisation électrique sur les caissons présentant le premier type de dopage; -les transistors de la première cellule sont configurés pour présenter un premier niveau de tension de seuil, les transistors de la seconde cellule sont configurés pour présenter un deuxième niveau de tension de seuil différent du premier niveau.

Description

CIRCUIT INTÉGRÉ COMPORTANT DES TRANSISTORS AVEC DES TENSIONS DE SEUIL DIFFÉRENTES
L'invention concerne un circuit intégré, et en particulier un circuit intégré réalisé sur un substrat de type silicium-sur-isolant (dit SOI, pour « Silicon On Insulator » en langue anglaise). L'invention concerne également un procédé d'utilisation de ce circuit intégré.
La technologie SOI consiste à séparer une fine couche de silicium (quelques nanomètres) sur un substrat en silicium par une couche d'isolant relativement épaisse (quelques dizaines de nanomètres en règle générale).
Les circuits intégrés réalisés en technologie SOI présentent un certain nombre d'avantages. De tels circuits présentent généralement une plus faible consommation électrique pour des performances équivalentes. De tels circuits induisent également des capacités de jonctions plus faibles, qui permettent d'améliorer la vitesse de commutation. De plus, le phénomène de déclenchement parasite (« latchup » en langue anglaise) rencontré par les transistors métal-oxyde-semi-conducteur (MOS) en technologie « bulk » peut être évité. De tels circuits s'avèrent donc particulièrement adaptés pour des applications de type SoC (« Systems on Chip » en langue anglaise) ou MEMS (« Micro Electro-Mechanical Systems » en langue anglaise). On constate également que les circuits intégrés SOI sont moins sensibles aux effets des radiations ionisantes et s'avèrent ainsi plus fiables dans des applications où de telles radiations peuvent induire des problèmes de fonctionnement, notamment dans des applications spatiales. Les circuits intégrés SOI peuvent notamment comprendre des mémoires vives de type SRAM (« Static Random Access Memory » en langue anglaise) et des portes logiques.
La réduction de la consommation statique de portes logiques tout en augmentant leur vitesse de basculement fait l'objet de nombreuses recherches. Certains circuits intégrés en cours de développement intègrent à la fois des portes logiques à faible consommation et des portes logiques à vitesse de basculement élevée. Pour générer ces deux types de portes logiques sur un même circuit intégré, on abaisse la tension de seuil (« threshold voltage » en langue anglaise, et abrévié VT) de certains transistors pour former des portes logiques à vitesse de basculement élevée, et on augmente la tension seuil d'autres transistors pour former des portes logiques à faible consommation. En technologie « bulk », la modulation du niveau de tension de seuil de transistors de même type est effectuée en différenciant le niveau de dopage de leur canal. Cependant, en technologie semi-conducteur sur isolant à canal complètement déserté, plus connue sous l'acronyme FDSOI (pour « Fully Depleted Silicon On Insulator » en langue anglaise), le dopage du canal est quasiment nul (1015 cm" 3). Ainsi, le niveau de dopage du canal des transistors ne peut donc pas présenter de variations importantes, ce qui empêche de différencier les tensions de seuil par ce biais. Une solution proposée dans certaines études, pour réaliser des transistors de même type à tensions de seuil distinctes, est d'intégrer différents matériaux de grille pour ces transistors. Cependant, la réalisation pratique d'un tel circuit intégré s'avère techniquement délicate et économiquement prohibitive.
Afin de disposer de tensions de seuil distinctes pour différents transistors en technologie FDSOI, il est également connu d'utiliser un plan de masse (« ground plane » ou « back plane » en langue anglaise) électriquement polarisé disposé entre une couche d'oxyde isolante mince et le substrat de silicium. En jouant sur le dopage des plans de masse et sur leur polarisation électrique, il est possible d'améliorer le contrôle électrostatique de ces transistors, ce qui permet de définir différentes gammes de tensions de seuil pour ces transistors. On peut ainsi disposer de transistors à faible tension de seuil, dits LVT (pour « Low VT » en langue anglaise), de transistors à haute tension de seuil, dits HVT (pour « High VT » en langue anglaise), et de transistors à tension de seuil intermédiaire, dits SVT (pour « Standard VT » en langue anglaise), ou RVT (pour « Regular VT » en langue anglaise). Typiquement, les transistors LVT présentent une tension de seuil inférieure ou égale à 350mV, les transistors HVT supérieure ou égale à 500mV et les transistors RVT comprise entre 350mV et 500mV.
De tels transistors sont décrits, par exemple, dans la note d'application technique de P. Flatresse et al., « Planar fully depleted silicon technology to design compétitive SOC at 28nm and beyond », STMicroelectronics, 2012, disponible sur le site Internet « www.soiconsortium.org ».
De façon connue, de tels transistors présentant des tensions de seuil différentes peuvent être intégrés au sein d'un même circuit intégré. Une telle co- intégration permet notamment de bénéficier de plusieurs plages de tension de seuil, ainsi que d'une meilleure flexibilité de fonctionnement du circuit. Cependant, cette co-intégration peut conduire à une dégradation de performances électriques du circuit, ou bien induire des violations de règles de dessin lors d'étapes de conception du circuit.
Typiquement, les transistors sont placés dans le circuit au sein de cellules dites standard. Ces cellules standard comprennent généralement chacune uniquement des transistors d'un niveau de tension de seuil donné. Ces cellules sont typiquement placées les unes à côté des autres, de manière à former des rangées de cellules, parallèles les unes aux autres.
Généralement, en présence de cellules ayant des tensions de seuil distinctes, il est préférable de co-intégrer les cellules présentant des tensions de seuil différentes au sein de mêmes rangées. En effet, placer des cellules de tension de seuil distinctes selon des rangées de cellules à tension de seuil homogène, peut conduire à des difficultés de conception du circuit (augmentation de longueur d'interconnexions électriques entre cellules, surconsommation de superficie occupée) qui, au final, atténuent les avantages procurés par la co-intégration.
Toutefois, placer des cellules de tensions de seuil distinctes dans une même rangée peut conduire à d'autres difficultés. Notamment, la discontinuité abrupte entre des caissons semi-conducteurs des différentes cellules peut conduire à la formation de points singuliers. Ces points singuliers sont situés à la jonction entre quatre caissons présentant des dopages opposés deux à deux. Ces points singuliers peuvent conduire, selon les polarisations électriques appliquées aux caissons, à l'apparition de courants de fuite, causés par la polarisation en sens direct de jonctions p-n formées par ces caissons.
Il existe donc un besoin pour un circuit intégré comportant des transistors MOS de technologie FDSOI présentant des tensions de seuil différentes, ces transistors étant intégrés dans le circuit sans dégrader des performances de consommation du circuit, tout en maintenant une répartition spatiale optimale des transistors dans ce circuit.
L'invention porte ainsi sur un circuit intégré conforme à la revendication 1 . Selon un autre mode de réalisation, ce circuit comporte une ou plusieurs caractéristiques des revendications dépendantes de ce circuit.
D'autres caractéristiques et avantages de l'invention ressortiront clairement de la description qui en est faite ci-après, à titre indicatif et nullement limitatif, en référence aux dessins annexés, dans lesquels :
-la figure 1 illustre une vue en coupe transversale d'une cellule d'un circuit intégré pour un premier mode de réalisation de l'invention;
-la figure 2 illustre une vue en coupe transversale d'une autre cellule d'un circuit intégré pour le premier mode de réalisation de l'invention;
-la figure 3 illustre schématiquement une vue en coupe longitudinale d'une rangée d'un circuit intégré contenant les cellules des figures 1 et 2 ;
-la figure 4 illustre schématiquement une vue en coupe longitudinale d'une rangée d'un circuit intégré selon l'état de la technique. De manière générale, l'invention vise à faciliter la co-intégration, dans un circuit intégré, de première et seconde cellules standard comportant des transistors présentant des tensions de seuil distinctes. Les cellules présentent chacune au moins un transistor nMOS et un transistor pMOS, les transistors nMOS étant alignés, les transistors pMOS étant également alignés. Les transistors de la première cellule présentent un même niveau de tension de seuil. Les transistors de la seconde cellule présentent un même niveau de tension de seuil, différent du niveau de la première cellule.
En choisissant des dopages de même type pour tous les caissons d'une première cellule, et des dopages de types opposés dans les caissons d'une seconde cellule, les première et seconde cellules peuvent être placées contiguëment l'une par rapport à l'autre au sein d'une même rangée du circuit, en permettant de limiter la formation de points singuliers et donc l'apparition de courants de fuite entre ces deux cellules, tout en permettant de conserver intrinsèquement un même niveau de tension pour les nMOS et les pMOS de la première cellule. Un dispositif de commande, aussi nommé circuit de polarisation, permet d'appliquer des polarisations électriques identiques sur les caissons présentant le même type de dopage. La figure 1 représente une première cellule 2 de type LVT d'un circuit intégré 4 représenté en figure 3. Ce circuit 4 comporte un substrat semiconducteur 6. Ce substrat 6 présente ici un dopage de type p, avec une concentration de dopants inférieure ou égale à 1016 cm"3 ou à 3*1015 cm"3. Le circuit 4 comporte en outre un circuit de polarisation 5, pour appliquer des polarisations électriques. Pour simplifier, seule une portion de ce circuit 5 est représentée sur la figure 1 , de manière schématisée.
La cellule 2 comprend :
-une couche enterrée 14 d'un matériau électriquement isolant;
-des transistors 10 et 12 MOS à effet de champ et de types opposés, dont la source, le drain et le canal sont formés dans une couche semi- conductrice 24 sur la couche enterrée 14. Le transistor 10 est ici de type p (pMOS), le transistor 12 est ici de type n (nMOS);
-des plans de masse semi-conducteurs 16 et 18, situés sous la couche 14 et placés à l'aplomb, respectivement, des transistors 10 et 12 ;
-des caissons semi-conducteurs 20 et 22, situés, respectivement, sous les plans de masse 16 et 18 et s'étendant parallèlement au substrat 6.
Les transistors 10 et 12 sont réalisés selon la technologie FDSOI. Le transistor 10 comprend ainsi une couche semi-conductrice 24, dite couche active, surmontée d'un empilement de grille 26. Cette couche 24 forme un canal 25 entre une source et un drain du transistor 10. Pour simplifier, cette couche 24 n'est pas décrite en détail sur la figure 1 . De façon connue en technologie FDSOI, cette couche 24 est dans un état déserté (« depleted » en langue anglaise) et présente un niveau de dopage très faible, typiquement inférieur ou égal à 1015 cm-3. Dans cette description, l'épaisseur et la profondeur sont définies par rapport à une direction perpendiculaire à un plan dans lequel s'étend le substrat 6.
Le canal 25 présente, pour le nœud technologique 28 nm (« technology node » en langue anglaise), une longueur de canal (« channel length » en langue anglaise) de 24 nm.
L'empilement 26 comprend notamment une grille 28. Cette grille 28 est ici réalisée par dépôt d'une couche de nitrure de titane (TiN) de 20nm d'épaisseur. Cette grille 28 présente par exemple un travail de sortie intermédiaire (« midgap » en langue anglaise), par exemple égal à 4,65 eV.
La couche 14 isole électriquement, notamment, la couche 24 du plan de masse 16. Cette couche 14 s'étend sensiblement parallèlement au substrat 6. La couche 14 est ici de type ultrafine, dite UTBOX (pour « Ultra-Thin Buried Oxide Layer » en langue anglaise). Cette couche 14 présente avantageusement une épaisseur inférieure à 50 nm et, de préférence, inférieure ou égale à 25 nm. Par matériau électriquement isolant, on désigne ici un matériau dont la résistivité électrique, mesurée à une température de 20°C, est supérieure ou égale à 105Q.m ou à 106Q.m. Par exemple, cette couche 14 est réalisée en oxyde de silicium (SiO2). La combinaison d'une telle couche 14 avec une polarisation appliquée sur les plans de masse 16 et 18 permet d'influer sur la tension de seuil des transistors 10 et 12.
Le plan de masse 16 permet de contrôler des propriétés électrostatiques du transistor 10, en appliquant un potentiel électrique sur ce plan de masse 16. À cet effet, le plan de masse 16 s'étend parallèlement au substrat 6, sous la couche 14, et est disposé à l'aplomb du transistor 10. Ce plan de masse 16 est en outre situé directement et uniquement sur le caisson 20, de sorte que la polarisation électrique de ce plan de masse 16 puisse être appliquée par l'intermédiaire du caisson 20.
Dans cet exemple, le caisson 20 présente un dopage de type n, avec une concentration de dopants comprise entre 1016 et 1018 cm"3. Le plan de masse 16 présente un dopage de même type que le dopage du caisson 16. La concentration de dopants du plan de masse 16 est ici comprise entre 1018 et 1020 cm"3. Ce plan de masse 16 présente ici une épaisseur comprise entre 50nm et 300nm et, de préférence, entre 70nm et 200nm. Le plan de masse 16 et le caisson 20 sont ici fabriqués à partir d'un même matériau semi-conducteur, par exemple du silicium, par application d'étapes distinctes d'implantation ionique. Chacun du plan de masse 16 et du caisson 20 présente ici un profil de dopage distinct.
La cellule 2 comporte en outre des tranchées d'isolation 30 et 32 (« shallow trench isolation » en langue anglaise), pour isoler électriquement les transistors 10, 12 les uns des autres. Par isolation des transistors 10 et 12, on entend ici que les plans de masse 16 et 18 sont notamment isolés électriquement l'un de l'autre. Plus spécifiquement, la tranchée 30 permet d'isoler électriquement les transistors 10, 12 de l'extérieur de la cellule 2. À cet effet, la tranchée 30 entoure la cellule 2 sur tout son pourtour extérieur. La tranchée 32 permet d'isoler électriquement entre eux les transistors 10 des 12 de la cellule 2. Dans cet exemple, la tranchée 32 est située entre les transistors 10 et 12. Ces tranchées 30 et 32 s'étendent, perpendiculairement au substrat 6, depuis une face supérieure de la couche 14 jusqu'à une extrémité inférieure, située en dessous de l'interface séparant le plan de masse 16 du caisson 20. Ces tranchées 30 et 32 sont réalisées dans un matériau électriquement isolant. Par exemple, ces tranchées 30 et 32 sont réalisées en oxyde de silicium.
Le transistor 12 est similaire au transistor 10 :
-le plan de masse 18 et le caisson 22 présentent tous deux des dopages de type n ;
-les concentrations de dopants sont similaires à celles du transistor 10 ;
-le transistor 12 comporte une couche semi-conductrice surmontée d'un empilement de grille, cette couche formant un canal entre une source et un drain du transistor 12. Le transistor 12 est cependant de type n (nMOS). A l'exception de cette différence, tout ce qui a été décrit en référence au transistor 10 s'applique au transistor 12.
Le circuit de polarisation 5 est ici configuré pour polariser électriquement les caissons 20 et 22 à une tension d'alimentation VDD du circuit 4. Ce circuit 5 comprend, par exemple, un générateur de tension électrique configuré pour fournir des tensions électriques spécifiques à partir d'une masse électrique GND et de la tension d'alimentation VDD du circuit 4. Le circuit de polarisation 5 peut également être configuré pour fournir des tensions électriques spécifiques différentes de Vdd et Gnd, par exemple Vdds et Gnds. Ainsi, dans cet exemple, les transistors 10 et 12 présentent tous deux des tensions de seuil de type RVT (pour « Regular VT » en langue anglaise). Par exemple, ces tensions de seuil sont comprises entre 350mV et 500mV. Les valeurs de tension de seuil des transistors 10 et 12 sont obtenues, notamment, grâce au choix des dopages des plans de masse 16 et 18 et des caissons 20 et 22, grâce au choix de la tension de polarisation de ces plans de masse, et du fait de l'utilisation d'une couche 14 de type UTBOX. Ainsi, la cellule 2 peut être utilisée pour ses propriétés de faible consommation énergétique.
Les niveaux des tensions de seuil des transistors 10 et 12 sont identiques, mais du fait des choix de type de dopage des plans de masse 16 et 18 et de leur tension de polarisation, l'amplitude de la tension de seuil du transistor 10 sera légèrement supérieure à l'amplitude de la tension de seuil du transistor 12. Le transistor 10 correspond en effet à une structure connue de transistor de type RVT à polarisation de type FBB intégrale (pour « Full Forward Back Biasing » en langue anglaise). On pourra par exemple considérer que deux tensions de seuil sont de même niveau si leur amplitude ne diffère que de moins de 30mV.
La figure 2 représente une seconde cellule 40 de type RVT pour un compromis consommation/vitesse du circuit 4. Cette cellule 40 est identique à la cellule 2, sauf que :
-les transistors 10 et 12 sont remplacés, respectivement, par des transistors 42 et 44,
-les plans de masse 16 et 18 sont remplacés, respectivement, par des plans de masse 46 et 48,
-les caissons 20 et 22 sont remplacés, respectivement, par des caissons
50 et 52.
Le plan de masse 46 et le caisson 50 présentent un dopage d'un même type, ce dopage étant d'un type opposé au dopage du plan de masse 16 et du caisson 20. Dans cet exemple, le plan de masse 46 et le caisson 50 présentent tous deux un dopage de type p.
De même, le plan de masse 48 et le caisson 52 présentent un dopage d'un même type, ce dopage étant du même type que le dopage du plan de masse 18 et du caisson 22. Dans cet exemple, le plan de masse 48 et le caisson 52 présentent tous deux un dopage de type n.
En outre, le circuit de polarisation 5 est ici configuré pour polariser électriquement le caisson 50 à la masse GND et le caisson 52 à la tension VDD. De par la polarisation du caisson 50 et de son dopage de type p, la tension de seuil du transistor 42 est de type LVT . Par exemple, ces tensions de seuil sont inférieures ou égales à 350mV. Du fait d'une de la polarisation du caisson 52 à VDD, en combinaison avec un dopage de type n, le transistor 44 a une polarisation de type Full FBB et présenterait ainsi normalement une configuration de type RVT. Toutefois, afin de disposer d'un transistor 44 présentant malgré tout un niveau de tension de seuil de type LVT, un paramètre technologique de compensation de la tension de seuil est utilisé sur ce transistor 44. La présence de ce paramètre technologique permet une compensation de la tension de seuil qui a ici un effet d'équilibrage de cellule.
Le paramètre technologique de compensation peut par exemple être la longueur du canal du transistor 44 (« poly bias » en langue anglaise). Afin d'augmenter la valeur de la tension de seuil, le canal du transistor 44 présente une longueur réduite. À cet effet, le canal 25 est présente ici une longueur de canal réduite de 4nm ou de 6nm ou de 10nm par rapport à une longueur de canal standard pour un transistor pMOS, par exemple la longueur du canal du transistor 10. Dans cet exemple, le transistor 44 présente ainsi une longueur de canal strictement inférieure à 24nm.
Cette réduction de la longueur de canal modifie la tension de seuil du transistor 44, grâce à l'effet dit de canal court (« Short Channel Effect » en langue anglaise). Cette modification compense ainsi la modification de valeur de la tension de seuil induite par la mise à VDD du caisson 52. La valeur de tension de seuil du transistor 44 est ainsi du même niveau que la tension de seuil du transistor 42, bien que son amplitude puisse être légèrement inférieure.
Le paramètre de compensation technologique peut également être le choix du matériau de grille, et en particulier la valeur de son travail de sortie.
La figure 3 représente une rangée 60 du circuit 4. Cette rangée 60 présente ici une forme rectiligne et s'étend parallèlement au substrat 6. Cette rangée 60 comprend une pluralité de cellules et, en particulier, des cellules 2 et 40. Une cellule 2 et une cellule 40 sont ici placées l'une à côté de l'autre, de façon contiguë, au sein de la rangée 60, de telle sorte que la tranchée 32 s'étende parallèlement à la rangée 60. Les transistors 10 et 42, de type pMOS, sont alignés entre eux suivant une direction parallèle à la rangée 60. Les transistors 12 et 44, de type nMOS, sont alignés entre eux suivant une autre direction, elle aussi parallèle à la rangée 60. Pour simplifier, seuls les caissons et les transistors respectifs de ces cellules 2 et 40 sont représentés sur la figure 3.
La co-intégration de ces cellules 2 et 40 au sein d'une même rangée 60 permet de bénéficier, dans la rangée 60, de plusieurs transistors présentant des tensions de seuil de niveaux distincts. La configuration de la rangée 60 permet d'éviter un problème de conception de la rangée 80 illustrée à la figure 4. En effet, une telle configuration permet d'éviter la formation de points singuliers, à l'intersection entre quatre caissons.
Un tel point singulier 82 apparaît sur une ligne d'interface entre un caisson dopé n et un caisson dopé p pour les nMOS et entre un caisson dopé p et un caisson dopé n pour les pMOS, les dopages formant un motif en damier comme cela est schématiquement illustré à la figure 4 pour une rangée 80 selon l'état de la technique. Une telle disposition peut ainsi être dessinée pendant des étapes de conception du circuit, mais ne satisfait pas aux règles standard de dessin typiquement utilisées (« Design Rule Manual » en langue anglaise). Une telle disposition peut en effet engendrer des résultats indésirables lors de la fabrication du circuit 4. Notamment, une telle disposition peut favoriser l'apparition de courants de fuite pouvant résulter de la formation d'une jonction p-n polarisée en sens direct entre ces caissons de dopages de même type mais de polarisations différentes. La polarisation appliquée par le circuit de polarisation 5 sur les caissons 20, 22 et 52 des transistors de la rangée, ce qui permet d'éviter les courants de fuite entre ces caissons. On note V1 cette polarisation. Une polarisation électrique V2 est en outre appliquée par le circuit 5 sur le caisson 50 de la rangée. La polarisation V2 présente ici une valeur différente de V1 . Ici, V1 est égale à VDD. La polarisation V2 est ici choisie égale à GND.
Ainsi, dans la configuration de la rangée 60, il est possible de faire cohabiter, au sein de cette rangée, des cellules contenant des transistors ayant des tensions de seuil distinctes, en supprimant la possibilité d'occurrence de points singuliers au sein de cette rangée. Notamment, le choix des dopages et des polarisations électriques des caissons respectifs de ces cellules 2 et 40 permet de les accoler l'une à l'autre au sein de la rangée 60, tout en limitant le risque d'apparition de courants de fuite entre ces caissons. De plus, en co- intégrant de telles cellules au sein d'une même rangée, plutôt que de placer ces cellules dans des rangées distinctes, il est possible de réduire la longueur des interconnexions électriques reliant ces cellules entre elles. En effet, en accolant les cellules 2 et 40 de la rangée 60, les caissons 20, 22 et 52, qui présentent le même type dopage, peuvent être tous polarisés électriquement par la même polarisation V1 . Ainsi, lorsque des cellules 2 et 40 sont accolées en alternance l'une avec l'autre tout le long de la rangée 60, il existe une continuité électrique entre tous les caissons 20, 22 et 52 de cette rangée 60, car ils présentent ce même type de dopage. Ces caissons peuvent alors tous être polarisés électriquement à la valeur V1 sans qu'il ne soit pour cela nécessaire d'intercaler des contacts électriques intermédiaires propres à chaque cellule pour polariser chacun de ces caissons. Au contraire, ici, seul un nombre réduit de contacts électriques est nécessaire pour appliquer la polarisation V1 dans la rangée 60. Cela simplifie le schéma d'interconnexions du circuit 4 et augmente donc la compacité du circuit 4. Par exemple, des contacts électriques assurant une connexion électrique entre la portion de matériau semi-conducteur formant ces caissons 20, 22 et 52 d'une part, et le circuit 5 d'autre part, sont disposés uniquement aux extrémités de la rangée 60. Ainsi, les performances électriques du circuit 4 peuvent être améliorées. Enfin, cette disposition des cellules 2 et 40 permet d'éviter d'avoir recours à un caisson semi-conducteur profondément enterré pour isoler électriquement l'un de l'autre des caissons de dopages opposés, en particulier si l'on choisit des valeurs des tensions de polarisations V1 et V2 pour ne pas mettre en conductions les jonctions p-n entre les caissons. Cela permet de simplifier la conception et la fabrication du circuit 4.
De nombreux autres modes de réalisation sont possibles.
Chacune des cellules 2 et 40 peut contenir plus d'un transistor de chaque type pMOS ou nMOS. Par exemple, la cellule 2 comprend plusieurs transistors supplémentaires identiques au transistor 10. Ces transistors supplémentaires sont tous placés au-dessus du plan de masse 16, de manière à ce que leurs tensions de seuil respectives puissent être modifiées par l'application d'une polarisation électrique sur le caisson 20. Il en va de même pour le transistor 12.
Le circuit 5 peut directement utiliser les tensions électriques VDD et GND présentes dans le circuit 4.
La valeur de polarisation V2 peut être différente de V1 .
De même, la valeur de V1 peut être différente de VDD ou de GND.
Avantageusement, la polarisation électrique du caisson 50 est assurée par l'intermédiaire du substrat 6, qui présente un dopage d'un même type. A cet effet, le circuit 5 est par exemple connecté électriquement au substrat 6 pour appliquer la polarisation V2 sur ce substrat 6 de façon à ce que cette polarisation soit appliquée sur le caisson 50. Cela permet de réduire le nombre de contacts électriques.
En variante, si le substrat 6 présente un dopage de type différent de celui du caisson 50, alors la polarisation électrique de ce caisson 50 peut être réalisée par l'intermédiaire d'un caisson profondément enterré, disposé sous et à l'aplomb du caisson 50.
Le paramètre technologique de compensation du niveau de tension de seuil du transistor 44 peut être réalisé de manière différente. En variante, cette compensation est réalisée en remplaçant la grille 28 du transistor 44 par une grille comportant un matériau dont le travail de sortie est différent du travail de sortie de la grille 28. Par exemple, la grille 28 comporte un métal présentant un travail de sortie de type P, égal à 4,85eV, ou encore un travail de sortie de type N, présentant un travail de sortie de 4,45eV. Dans une autre variante, dans le cas où les transistors présentent une largeur de grille très faible, par exemple inférieure à 20nm, le paramètre technologique de compensation peut être réalisé en modifiant de quelques nanomètres cette largeur de la grille 28. Dans une autre variante, le paramètre de compensation peut être appliqué en adaptant la polarisation électrique appliquée communément aux caissons 20, 22 et 52. Par exemple, en appliquant sur ces caissons 20, 22 et 52 une polarisation VDD-AV avec AV égal à 0,5 V, au lieu d'appliquer la polarisation VDD. Les tensions GND et VDD peuvent par exemple être modulées d'une valeur +AV à la hausse ou à la baisse.

Claims

REVENDICATIONS
Circuit intégré (4) comprenant :
-un substrat (6) semi-conducteur ;
-des première
(2) et seconde (40) cellules, comportant chacune :
• des premier (10, 44) et second (12, 42) transistors à effet de champ de type FDSOI, et, respectivement, l'un étant de type pMOS et l'autre étant de type nMOS ;
• des premier (16, 48) et second (18, 46) plans de masse semiconducteurs, respectivement placés sous les premier et second transistors ;
• une couche d'oxyde enterrée (14) s'étendant entre les premier et second transistors d'une part, et les premier et second plans de masse d'autre part ;
• des premier (20, 52) et second (22, 50) caissons semi-conducteurs, placés respectivement sous les premier et second plans de masse et séparant ces plans de masse du substrat semi-conducteur, le premier caisson et le premier plan de masse présentant le même type de dopage, le second caisson et le second plan de masse présentant le même type de dopage ;
-les première et seconde cellules étant accolées l'une à l'autre de sorte que leurs premiers transistors soient alignés selon une première direction parallèle au substrat et leurs seconds transistors soient alignés selon la première direction;
-les premier (20) et second (22) caissons de la première cellule et le premier caisson (52) de la seconde cellule présentant un même dopage d'un premier type, et le second caisson (50) de la seconde cellule présente un dopage d'un second type, opposé au premier type ;
-le circuit intégré comprenant en outre un dispositif de commande (5) pour appliquer une même première polarisation électrique sur les caissons (20, 22, 52) présentant le premier type de dopage et une seconde polarisation électrique distincte de la première polarisation sur le caisson (50) présentant le second type de dopage ;
-les transistors (10, 12) de la première cellule étant configurés pour présenter un premier niveau de tension de seuil, les transistors (42, 44) de la seconde cellule étant configurés pour présenter un deuxième niveau de tension de seuil différent du premier niveau.
Circuit selon la revendication 1 , dans lequel le premier transistor (44) de la seconde cellule présente un paramètre technologique de compensation pour que la tension de seuil dudit transistor présente un même niveau de tension de seuil que le second transistor (42) de cette seconde cellule.
3. Circuit selon la revendication 2, dans lequel ledit paramètre technologique de compensation de la tension de seuil du premier transistor (44) de la seconde cellule est choisi parmi un groupe composé des caractéristiques suivantes : -la longueur de son canal est inférieure à la longueur de canal du second transistor de la seconde cellule ;
-sa grille est formée d'un matériau présentant un travail de sortie différent du matériau formant la grille du second transistor de la seconde cellule.
4. Circuit selon la revendication 3, dans lequel la longueur du canal du second transistor (42) de la seconde cellule est supérieure de 2 nm, de préférence supérieure de 6nm, à la longueur de canal du premier transistor (44) de la seconde cellule, de manière à ce que le premier et le second transistors de la seconde cellule présentent un même niveau de tension de seuil.
5. Circuit selon la revendication 1 , dans lequel le dispositif de commande (5) est configuré pour que ladite même première polarisation électrique appliquée sur les caissons (20, 22, 52) présentant le premier type de dopage soit distincte de la tension électrique (VDD) d'alimentation du circuit (4) et de la masse électrique (GND) du circuit (4), pour que la tension de seuil du premier transistor (44) de la seconde cellule présente un même niveau de tension de seuil que le second transistor (42) de cette seconde cellule.
6. Circuit selon l'une quelconque des revendications précédentes, dans lequel les premier et second types de dopages correspondent, respectivement, à des dopages de type n et p.
7. Circuit selon la revendication 6, dans lequel le dispositif de commande est configuré pour appliquer une polarisation électrique sur le second caisson (50) de la seconde cellule (40) différente de la polarisation appliquée sur le premier caisson (20) de la première cellule (2).
8. Circuit selon la revendication 7, dans lequel les niveaux des tensions de seuil des transistors (42, 44) de la seconde cellule (40) sont inférieurs aux niveaux des tensions de seuil des transistors (10, 12) de la première cellule (2).
9. Circuit selon l'une quelconque des revendications précédentes, dans lequel chacune des première et seconde cellules comprend une tranchée d'isolation profonde (32), s'étendant selon une direction perpendiculaire au substrat, jusqu'en-dessous des plans de masse de ces cellules, cette tranchée d'isolation séparant les premiers transistors des seconds transistors desdites cellules.
PCT/EP2013/071340 2012-10-12 2013-10-11 Circuit integre comportant des transistors avec des tensions de seuil differentes WO2014057112A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/435,004 US9911737B2 (en) 2012-10-12 2013-10-11 Integrated circuit comprising transistors with different threshold voltages

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR1259762 2012-10-12
FR1259762A FR2996956B1 (fr) 2012-10-12 2012-10-12 Circuit integre comportant des transistors avec des tensions de seuil differentes

Publications (1)

Publication Number Publication Date
WO2014057112A1 true WO2014057112A1 (fr) 2014-04-17

Family

ID=47833105

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2013/071340 WO2014057112A1 (fr) 2012-10-12 2013-10-11 Circuit integre comportant des transistors avec des tensions de seuil differentes

Country Status (3)

Country Link
US (1) US9911737B2 (fr)
FR (1) FR2996956B1 (fr)
WO (1) WO2014057112A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9542996B2 (en) 2014-09-10 2017-01-10 Commissariat à l'énergie atomique et aux énergies alternatives Device with SRAM memory cells including means for polarizing wells of memory cell transistors

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9842184B2 (en) * 2015-09-11 2017-12-12 Globalfoundries Inc. Method, apparatus and system for using hybrid library track design for SOI technology
US10096602B1 (en) * 2017-03-15 2018-10-09 Globalfoundries Singapore Pte. Ltd. MTP memory for SOI process
US10079597B1 (en) * 2017-03-15 2018-09-18 Globalfoundries Inc. Circuit tuning scheme for FDSOI

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030001658A1 (en) * 2000-11-28 2003-01-02 Koichi Matsumoto Semiconductor device
WO2010112585A1 (fr) * 2009-04-01 2010-10-07 Commissariat à l'énergie atomique et aux énergies alternatives Circuit integre realise en soi presentant des transistors a tensions de seuil distinctes

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2975803B1 (fr) * 2011-05-24 2014-01-10 Commissariat Energie Atomique Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR2980035B1 (fr) * 2011-09-08 2013-10-04 Commissariat Energie Atomique Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR2980640B1 (fr) * 2011-09-26 2014-05-02 Commissariat Energie Atomique Circuit integre en technologie fdsoi avec partage de caisson et moyens de polarisation des plans de masse de dopage opposes presents dans un meme caisson
FR2993406B1 (fr) * 2012-07-13 2014-08-22 Commissariat Energie Atomique Circuit integre sur soi comprenant un transistor bipolaire a tranchees d'isolation de profondeurs distinctes
FR2993405B1 (fr) * 2012-07-13 2014-08-22 Commissariat Energie Atomique Circuit integre sur soi comprenant un transistor de protection sous-jacent
FR2993403B1 (fr) * 2012-07-13 2014-08-22 Commissariat Energie Atomique Circuit integre sur soi comprenant un triac de protection contre des decharges electrostatiques
FR2993404B1 (fr) * 2012-07-13 2014-08-22 Commissariat Energie Atomique Circuit integre sur soi comprenant un thyristor (scr) de protection contre des decharges electrostatiques
FR2993402B1 (fr) * 2012-07-13 2018-02-02 Commissariat Energie Atomique Circuit integre sur soi comprenant une diode laterale de protection contre des decharges electrostatiques
FR2996386A1 (fr) * 2012-10-01 2014-04-04 St Microelectronics Sa Comparateur integre a hysteresis, en particulier realise dans une technologie fd soi

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030001658A1 (en) * 2000-11-28 2003-01-02 Koichi Matsumoto Semiconductor device
WO2010112585A1 (fr) * 2009-04-01 2010-10-07 Commissariat à l'énergie atomique et aux énergies alternatives Circuit integre realise en soi presentant des transistors a tensions de seuil distinctes

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
FENOUILLET-BERANGER C ET AL: "UTBOX and ground plane combined with Al 2 O 3 inserted in TiN gate for V T modulation in fully-depleted SOI CMOS transistors", VLSI TECHNOLOGY, SYSTEMS AND APPLICATIONS (VLSI-TSA), 2011 INTERNATIONAL SYMPOSIUM ON, IEEE, 25 April 2011 (2011-04-25), pages 1 - 2, XP031944125, ISBN: 978-1-4244-8493-5, DOI: 10.1109/VTSA.2011.5872254 *
JEAN-PHILIPPE NOEL ET AL: "Multi- $V_{T}$ UTBB FDSOI Device Architectures for Low-Power CMOS Circuit", IEEE TRANSACTIONS ON ELECTRON DEVICES, IEEE SERVICE CENTER, PISACATAWAY, NJ, US, vol. 58, no. 8, August 2011 (2011-08-01), pages 2473 - 2482, XP011336322, ISSN: 0018-9383, DOI: 10.1109/TED.2011.2155658 *
J-P NOEL ET AL: "UT2B-FDSOI device architecture dedicated to low power design techniques", SOLID-STATE DEVICE RESEARCH CONFERENCE (ESSDERC), 2010 PROCEEDINGS OF THE EUROPEAN, IEEE, PISCATAWAY, NJ, USA, 14 September 2010 (2010-09-14), pages 210 - 213, XP031787588, ISBN: 978-1-4244-6658-0 *
P. FLATRESSE ET AL.: "Planar fully depleted silicon technology to design competitive SOC at 28nm and beyond", STMICROELECTRONICS, 2012, Retrieved from the Internet <URL:www.soiconsortium.org>
THOMAS SKOTNICKI: "Competitive SOC with UTBB SOI", SOI CONFERENCE (SOI), 2011 IEEE INTERNATIONAL, IEEE, 3 October 2011 (2011-10-03), pages 1 - 61, XP032011608, ISBN: 978-1-61284-761-0, DOI: 10.1109/SOI.2011.6081792 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9542996B2 (en) 2014-09-10 2017-01-10 Commissariat à l'énergie atomique et aux énergies alternatives Device with SRAM memory cells including means for polarizing wells of memory cell transistors

Also Published As

Publication number Publication date
FR2996956A1 (fr) 2014-04-18
US9911737B2 (en) 2018-03-06
FR2996956B1 (fr) 2016-12-09
US20150287722A1 (en) 2015-10-08

Similar Documents

Publication Publication Date Title
EP2131397B1 (fr) Circuit à transistors intégrés en trois dimensions et ayant une tension de seuil vt ajustable dynamiquement
EP2577730B1 (fr) Circuit integre a dispositif de type fet sans jonction et a depletion
EP2685500A1 (fr) Circuit integré sur soi comprenant un thyristor (scr) de protection contre des décharges électrostatiques
EP2415079B1 (fr) Circuit integre realise en soi presentant des transistors a tensions de seuil distinctes
EP2750180B1 (fr) Circuit intégré comprenant une cellule d&#39;arbre d&#39;horloge
FR2980035A1 (fr) Circuit integre realise en soi comprenant des cellules adjacentes de differents types
FR2953641A1 (fr) Circuit de transistors homogenes sur seoi avec grille de controle arriere enterree sous la couche isolante
FR3005203A1 (fr) Circuit integre sur soi comprenant un transistor de protection contre des decharges electrostatiques
EP2573808A1 (fr) Circuit integré en technologie FDSOI avec partage de caisson et moyens de polarisation des plans de masse de dopage opposés présents dans un même caisson
EP2685499A1 (fr) Circuit integre sur soi comprenant un triac de protection contre des decharges electrostatiques
FR3007577A1 (fr) Transistors avec differents niveaux de tensions de seuil et absence de distorsions entre nmos et pmos
EP2685501A1 (fr) Circuit integre sur soi comprenant un transistor de protection sous-jacent
EP2784817A1 (fr) Procédé de commande d&#39;un circuit intégré
WO2014057112A1 (fr) Circuit integre comportant des transistors avec des tensions de seuil differentes
EP2835825A1 (fr) Circuit integre sur soi muni d&#39;un dispositif de protection contre les decharges electrostatiques
EP2775529A2 (fr) Transistor à effet tunnel
EP2750179B1 (fr) Circuit intégré comprenant une cellule d&#39;arbre d&#39;horloge
EP2685502A1 (fr) Circuit integre sur soi comprenant un transistor bipolaire a tranchees d&#39;isolation de profondeurs distinctes
EP2757590B1 (fr) Transistor à grille et à plan de masse couplés
FR2995722A1 (fr) Finfet en silicium sur isolant avec une dependance reduite vis-a-vis de la largeur du fin
EP1107314A1 (fr) Transistors mos durcis
FR3048304A1 (fr) Puce electronique a transistors a grilles avant et arriere
EP2685497A1 (fr) Circuit integre sur soi comprenant une diode laterale de protection contres des decharges electrostatiques
FR2995139A1 (fr) Transistor mos
FR3136109A1 (fr) Dispositif semiconducteur du type silicium sur isolant et procédé de fabrication correspondant

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13774458

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14435004

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13774458

Country of ref document: EP

Kind code of ref document: A1