FR2872342A1 - Procede de fabrication d'un dispositif semiconducteur - Google Patents

Procede de fabrication d'un dispositif semiconducteur Download PDF

Info

Publication number
FR2872342A1
FR2872342A1 FR0500634A FR0500634A FR2872342A1 FR 2872342 A1 FR2872342 A1 FR 2872342A1 FR 0500634 A FR0500634 A FR 0500634A FR 0500634 A FR0500634 A FR 0500634A FR 2872342 A1 FR2872342 A1 FR 2872342A1
Authority
FR
France
Prior art keywords
hard mask
dielectric constant
film
low dielectric
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR0500634A
Other languages
English (en)
Other versions
FR2872342B1 (fr
Inventor
Kazuaki Inukai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc filed Critical Semiconductor Leading Edge Technologies Inc
Publication of FR2872342A1 publication Critical patent/FR2872342A1/fr
Application granted granted Critical
Publication of FR2872342B1 publication Critical patent/FR2872342B1/fr
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

Procédé de fabrication d'un dispositif semiconducteur dans lequel un film isolant 13 à constante diélectrique faible est appliqué au semiconducteur pour usiner le film isolant 13 à constante diélectrique faible et sa couche inférieure, un film d'arrêt de gravure 12. On effectue d'abord la gravure d'un film de masque dur 14 avec une réserve 15a en tant que masque, et ensuite l'incinération de la réserve 15a sous conditions d'une température supérieure à 200°C et d'une pression d'environ 1 Torr avec du gaz mixte constitué de H2 et de He. Ainsi, sans endommager le film de masque dur 14a dans l'élimination de la réserve, le micro-usinage au moyen du masque dur ayant des facettes réduites devient possible lors de la gravure subséquente du film isolant 13 à constante diélectrique faible.

Description

[Domaine technique]
1] La présente invention concerne un procédé de fabrication d'un dispositif semiconducteur notamment au moyen d'un film isolant à constante diélectrique faible (un film Low-k), et notamment un procédé de fabrication d'un dispositif semiconducteur susceptible de réduire des dommages à des films comme des masques durs lors de l'étape d'incinération de la réserve.
[Etat de la technique antérieure] [0002] Le câblage métallique dans des circuits intégrés à semiconducteur pose un problème sérieux en matière de retard du signal dû à la résistance métallique et à l'augmentation en volume de films isolants entre couches au fur et à mesure que le pas de câblage raccourcit. Pour résoudre ce problème, il est devenu indispensable d'utiliser du Cu comme matériaux pour le câblage et un film dit Low-k, qui est un film à constante diélectrique faible, comme film isolant. La méthode damascene consistant à usiner et à former des rainures ou des pores au film isolant à constante diélectrique faible, à y encastrer du Cu avec un revêtement métallique, et ensuite à planariser le film par polissage chimique-mécanique est devenue une méthode majoritaire pour la formation de ce câblage métallique. On connaît, comme film isolant typique à constante diélectrique faible, un film isolant poreux à constante diélectrique faible dans lequel des trous sont introduits et formés.
3] Dans la technique conventionnelle d'usinage d'un film isolant à constante diélectrique faible, on connaît un procédé utilisant une réserve en tant que masque et un procédé utilisant un masque dur. En cas de procédé d'usinage utilisant une réserve en tant que masque, on obtient une forme avec des pertes d'angle diminuées en tant que motif de réserve, mais on doit se préoccuper de dommages au film isolant à constante diélectrique faible lors de l'incinération subséquente.
4] D'autre part, l'usinage avec un masque dur n'a pas de telle étape d'incinération, et est donc prometteur en ce qui concerne la prévention de dommages. Dans ce dernier cas, cependant, on effectue la gravure du masque dur avec un motif de réserve en tant que masque, et ensuite la gravure du film isolant à constante diélectrique faible après l'élimination de la réserve, mais on utilise normalement du gaz 02 pour 1 ' incinération de la réserve après cette gravure du masque dur (voir la publication de la demande de brevet japonais n 2002-203852) . Selon cet incinération conventionnelle à haute température avec du gaz 02, le film de masque dur vient s'endommager, le masque dur devient un film à caractère SiO2 et son rapport sélectif en tant que masque dur s'abaisse lors de la gravure prochaine du film isolant à constante diélectrique faible.
5] En outre, on connaît une utilisation de gaz comme du NH3, du N2/H2 pour effectuer l'incinération à une température d'au plus 25 C et à. une pression d' auplus 0, 1 Torr (voir lapublication de la demande de brevet japonais n 2002-043422) . Or, dans ces conditions d'incinération, des pertes d'angle à la forme d'un masque dur préalablement usiné se produisent par exemple sous l'effet d'ions dans le plasma, et les pertes d'angle du masque dur deviennent plus apparentes pendant l'usinage suivant d'un film isolant à constante diélectrique faible.
[Exposé de l'invention] [Problèmes que l'invention vise à résoudre] [0006] Comme décrit précédemment, l'incinération de la réserve après 1 ' usinage avec le masque dur selon le procédé conventionnel était peu appropriée à des micro-usinages de précision, puisque la forme du motif de masque dur s'effile après la gravure.
L'invention a pour but de résoudre tels problèmes selon la technique conventionnelle, et de réaliser un procédé de fabrication d'un dispositif semiconducteur remarquable par sa commandabilité de taille et capable d'un usinage précis par motif, sans que le film de masque dur soit endommagé dans l' étape d'incinération du motif de réserve.
[Moyens pour résoudre les problèmes] [0007] L' invention a été conçue vu le fait que des dommages subis par le masque dur peuvent être réduits en utilisant du gaz mixte constitué de H2 et de He en tant que gaz d'incinération lors de l'incinération au moyen du traitement par plasma du motif de réserve utilisé lors de la production de motif du masque dur.
8] L'invention porte sur un procédé de fabrication d'un dispositif semiconducteur, comprenant les étapes consistant à former un film isolant à constante diélectrique faible sur un substrat, à former un film de masque dur sur la surface dudit film isolant à constante diélectrique faible, à former un motif de réserve en appliquant et en développant une réserve à la surface dudit film de masque dur, à former un masque dur par gravure du film de masque dur avec ledit motif de réserve en tant que masque, à éliminer ledit motif de réserve par incinération, et à former dumotif par gravure dudit film isolant à constante diélectrique faible au moyen dudit masque dur, caracterisé en ce que l'élimination par incinération de la réserve est effectuée au moyen de gaz mixte constitué de H2 et de He lors de l'étape d'incinération du motif de réserve. [0018] Ladite invention porte sur un procédé de fabrication d'un dispositif semiconducteur, comprenant les étapes consistant à former un film d'arrêt de gravure sur un substrat, à former un film isolant à constante diélectrique faible sur la surface dudit film d'arrêt de gravure, à former un film de masque dur sur la surface dudit film isolant à constante diélectrique faible, à former un motif de réserve en appliquant et en développant une réserve à la surface dudit film de masque dur, à former un masque dur par gravure du film de masque dur avec ledit motif de réserve en tant que masque, à éliminer ledit motif de réserve par incinération, à former un motif par gravure dudit film isolant à constante diélectrique faible au moyen dudit masque dur, et à formerunmotif engravant ledit film d' arrêt de gravure, dans lequel on peut adopter une étape où l'élimination par incinération de la réserve est effectuée au moyen de gaz mixte constitué de H2 et de He lors de l'étape d' incinération du motif de réserve.
[Description sommaire des dessins]
1] La figure 1 est une illustration schématique d'un processus selon le premier mode de réalisation de l'invention.
La figure 2 est une illustration schématique d'un processus selon le deuxième mode de réalisation de 1' invention. La figure 3 est une illustration schématique d'un processus conventionnel.
[Meilleur mode d'exécuter l'invention] [0019] [Premier mode de réalisation] L'invention sera expliquée ci-après avec des modes de réalisation. La figure 1 représente un processus de l'un de ces modes de réalisation.
Comme on le voit sur la figure 1, le procédé de fabrication d'un dispositif semiconducteur selon ce mode de réalisation comprend chacune des étapes consistant à former un film isolant à constante diélectrique faible sur un substrat (l'étape de formation du film isolant) , à former un film de masque dur sur la surface du film (l' étape de formation du film de masque dur) , à former un film de réserve sur la surface du film de masque dur pour former un motif de réserve au moyen du traitement d'exposition et de développement (l'étape de formation du motif de réserve), à former un masque dur en usinant par motif le film de masque dur (l'étape de formation du masque dur), à éliminer ledit motif de réserve par incinération (l'étape d' incinération) , à former unmotif audit film isolant à constante diélectrique faible au moyen dudit masque dur (1 'étape d'usinage du film isolant à constante diélectrique faible) . Ci-après, les étapes seront expliquées successivement chacune en référence à la figure 1.
0] (Etape de formation du film isolant) Comme le montre la figure 1(a), cette étape constitue celle pour former un film isolant 13 à constante diélectrique 5 faible sur la surface d'un substrat 11.
(Substrat) Ce substrat 11 peut être une plaque de silicium seule, ou une plaque de silicium avec un élément semiconducteur formé sur sa surface. De plus, un métal, un film isolant ou des films fonctionnels divers peuvent y être formés, et/ou un câblage, un film isolant entre couches ou des éléments fonctionnels divers peuvent y être formés. Egalement, un câblage en cuivre encastré dans le film isolant à constante diélectrique faible, formé sur la plaque de silicium, peut être prévu. De plus, ledit substrat peut avoir une surface sur laquelle une couche d'agent de couplage au silicium etc. est formée afin d'y améliorer l'adhérence. De plus, un film d'arrêt de gravure peut y être formé. La figure 1 montre un exemple selon lequel ce film d' arrêt de gravure 12 est formé sur la surface du substrat 11.
1] (Matériaux pour le film d'arrêt de gravure) Comme matériaux pour ce film d'arrêt de gravure 12, on peut utiliser ceux conventionnels. On peut citer de façon concrète des films en céramique tels qu'en SiC, en Si3N4, en SiCN, et en SiCO.
2] (Matériaux pourlefilmisolant à constante diélectrique faible) Comme matériaux pour le film isolant 13 à constante diélectriquefaible danscette étape,unfilmisolant àconstante diélectrique faible contenant du Si, du C, du 0 et du H, ou un film isolant à constante diélectrique faible de polymère d'hydrocarbure organique ayant une constante diélectrique d'au plus 3 est préférable.
Comme matériaux pour ledit film isolant à constante diélectrique faible contenant du Si, du C, du 0 et du H, on peut citer des polyorganosiloxanes tels que le MSQ (méthylsilsesquioxane), le MHSQ (méthylure de hydrogènesilsesquioxane), le SiOC, etc. Et comme matériaux pour ledit film isolant à constante diélectrique faible de polymère d'hydrocarbure organique, on peut citer le PAE (polyarylether), le BCB (divinylsiloxane-bis-benzocylobutène), le polyimide, etc. [0023] Ledit film isolant à constante diélectrique faible peut être formé par la méthode de dépôt chimique en phase vapeur ou la méthode d'application communément utilisée. L'épaisseur de ce film isolant à constante diélectrique faible est, de façon générale mais non-limitative, comprise entre 0,1 et 0,5 pm environ. En outre, ce film isolant à constante diélectrique faible peut être une couche poreuse ayant des trous à son intérieur. La méthode d'application est plus adaptée à la formation d'une couche poreuse. Le fait que le film isolant à constante diélectrique faible se forme en couche poreuse permet d'abaisser la constante diélectrique facilement.
4] (Etape de formation du film de masque dur) Ensuite, un film de masque dur 14 est formé sur la surface dudit film isolant 13 à constante diélectrique faible. Comme matériaux pour le film de masque dur, on peut utiliser le SiC, le SiOC, le SiN, le SiCN, etc. La sélection de ces matériaux en tant que ceux pour le film de masque dur est avantageuse en terme de rapport sélectif requis pour l'usinage du film isolant à constante diélectrique faible. Ces films peuvent être formés par une méthode comme la méthode de dépôt chimique en phase vapeur. De préférence, l'épaisseur du film dur est, de façon non-- limitative, comprise entre 20 et 100 nm environ.
5] (Etape de formation du motif de réserve) Comme le montre la figure 1(b), cette étape constitue celle pour former un motif de réserve 15a sur la surface dudit film de masque dur 14. Cette étape comprend, en premier lieu, une application d'une réserve à la surface dudit film de masque dur, et ensuite une réalisation d'exposition et de développement au moyen de la photolithographie communément utilisée, c'est-à-dire avec les rayons ultraviolets ou le faisceau électronique, pour former un motif au film de réserve. Les matériaux pour le film de réserve utilisés dans cette étape sont non-limitatifs, mais afin de les appliquer à un micro-usinage envisagé dans la présente invention, des matériaux pour la réserve de type chimiquement amplifiés pour le laser excimère sont préférables.
6] (Etape de formation du masque dur) Comme le montre la figure 1 (c) , cette étape consiste à usiner le film de masque dur 14 par gravure sèche en utilisant le motif de réserve 15a formé dans l'étape précédente en tant que masque, pour former un masque dur 14a. Dans cette étape, il est préférable d'utiliser un graveur d'ions réactif de type à plateau parallèle, à polarisation RF tel qu'un graveur d'ions réactif de type à plateau parallèle à excitation à deux fréquences, en tant que dispositif de gravure sèche, en terme de commandabilité de taille pour effectuer la gravure sèche précise du motif de masque de réserve.
En outre, le gaz utilisé dans ce cas varie selon les matériaux pour le film de masque dur, mais on utilise en général le CF4, le CF4-02, le CF4/H2, le NF3, le CH2F2, le CHF3, etc. [0027] (Etape d'incinération) Comme le montre la figure 1(d), cette étape constitue celle pour éliminer le motif de réserve 15a utilisé pour l'usinage par motif du film de masque dur 14. Dans cette étape, l'invention permet de minimiser des dommages au masque dur en SiC, etc. , lors de l'étape d' incinération au moyen de gaz mixte constitué de H2 et de He en tant que gaz d'incinération, de former, en plus, un masque dur sans perte d' angle grâce au rapport selectif très important à l'égard du film en SiC avec l'incinération isotropique, et de former un motif précisément.
8] La teneur en oxygène dans le gaz mixte apte à cette étape est comprise, de préférence, entre 1 et 20%. En ce qui concerne ce rapport de composition, un rapport faible de gaz d'hydrogène induit des problèmes comme une chute de débit, une homogénéité défecteuse,tandis qu'un rapport élevé de gaz d' hydrogène induit des risques de sécurité comme la limite d'explosivité, ce qui est indésirable.
9] En outre, il est préférable dans cette étape que la température d'incinération soit de 200 C à 400 C. Si la température d'incinération est inférieure à 200 C, elle ralentit l' incinération, et empêche de l'accomplir pendant une durée pratique. D'autre part,sila température d'incinération dépasse ladite plage et du Cu est utilisé pour le câblage, des phénomènes incompatibles tels que 1 ' augmentation de contrainte à cause de la haute température apparaissent.
0] De plus, il est souhaitable que la pression lors de l'incinération soit de 50 Pa à 1 x 105 Pa. La pression d'incinération inférieure à ladite plage peut entraîner des problème de chute de débit d'incinération car la densité radicalaire s'affaiblit, tandis que la pression d'incinération supérieure à ladite plage peut entraîner des problèmes d'homogénéité défecteuse à cause d'une diffusion radicalaire insufissante, ce qui est indésirable en tous cas.
1] Comme dispositif d' incinérationutilisé dans cette étape, il est préférable, en terme de capacité pour réduire des dommages au masque dur, d'utiliser un dispositif d'incinération dit à distance par plasma, dans lequel un générateur de plasma est relié en dehors d'une chambre d'incinération par l'intermédiaire d'une tubulure, du gaz contenant des radicaux générés avec ce générateur de plasma est transporté à la chambre d'incinération avec du gaz porteur, et les radicaux sont irradiés sur le substrat.
2] (Etape d'usinage du film isolant à constante diélectrique faible) Comme lemontre la figure 1 (e) , laprochaine étape consiste à former des rainures ou des pores 16 au film isolant 13 à constante diélectrique faible par gravure du film isolant 13 à constante diélectrique faible au moyen du masque dur 14a. Dans cette étape, on utilise un dispositif de gravure par plasma comme dispositif de gravure, de même que celui pour ledit masque dur. On peut utiliser des gaz de gravure CF tels que C4F8/N2/Ar, C5F8/N2/Ar, C4F5/N2/Ar comme gaz de gravure par plasma.
3] En outre, selon l'exemple illustré sur la figure 1, un film d'arrêt de gravure 12 est formé entre le substrat 11 et le film isolant 13 à constante diélectrique faible. Pour ce dernier cas, un usinage 12a par motif du film d'arrêt de gravure 12 est nécessaire à nouveau après l'usinage 13a du film isolant 13 à constante diélectrique faible. A cette fin, il est préférable d'effectuer 1 ' usinage par gravure par plasma au moyen du dispositif de gravure utilisé dans l'étape précédente. On peut utiliser des gaz mixtes tels que CF4/N2/Ar, CHF3/N2/Ar, CH2F2 /N2 /Ar comme gaz de gravure.
4] Dans la suite, un câblage ou un bouchon d'interconnexion 18 (viaplug) peut être formé par encastrement de métaux comme du cuivre dans des rainures ou des pores selon une méthode déterminée, comme cela est représenté à la figure 1(f).
5] [Deuxième mode de réalisation] Ci-après, le deuxième mode de réalisation dans lequel 25 1 ' invention est appliquée à la formation de pores et d'un câblage pour la deuxième couche selon la méthode damascene double (dual damascene method) utilisant un masque dur sera expliqué en référence à la figure 2 schématisant son processus. Par ailleurs, pour des processus selon ce mode de réalisation pareils à ceux selon le premier mode de réalisation, la description détaillée sera omise.
6] D'abord, comme le montre la figure 2 (a) , on forme un film d'arrêt de gravure 22, un film isolant 23 à constante diélectrique faible, un film de masque dur 24, un film antireflet 25, sur la surface du substrat 21 dans lequel un câblage en cuivre 27 est encastré, et puis, après la formation d'un film de réserve à cette surface, on forme successivement un motif de réserve 26a selon une méthode de routine.
7] Ensuite, on produit un motif pour le film antireflet 25 et le film de masque dur 24 au moyen dudit motif de réserve 26a (figure 2 (b)).
8] Ensuite, on élimine par gravure par plasma le motif de réserve 26a subsistant à la surface du masque dur 24a, et le film antireflet 25a pour lequel le motif est produit au moyen de gaz mixte constitué de H2 et de He (figure 2 (c)) . Dans cette étape, des dommages au masque dur peuvent être réduits grâce à la gravure au moyen du même gaz mixte que celui utilisé dans ledit premier mode de réalisation.
9] Ensuite, comme le montre la figure 2 (d) , on forme un film de réserve 28 et un motif de réserve 29a sur le masque dur 24a par photolithographie. Ensuite, comme le montre la figure2 ( e) , on élimine par gravure le film de réserve 28, le film isolant 23 à constante diélectrique faible avec ce motif de réserve 29a en tant que masque.
0] Ensuite, on élimine par incinération ledit film de réserve 28 et le motif de réserve 29a. On utilise alors du gaz mixte constitué de H2 et de He en tant que gaz de gravure par plasma. Comme décrit précédemment, on peut en réduire des dommages au masque dur.
1] Puis, comme le montre la figure 2(g) , on effectue la gravure sur le film isolant 23a à constante diélectrique faible au moyen du motif pour le masque dur 24a, mais on arrête la gravure à mi-chemin pour former un motif de câblage 23b pour la deuxième couche.
2] Ensuite, on élimine le masque dur 24a par gravure sèche (figure 2(h)). Par suite des étapes mentionnées ci-dessus, on peut fabriquer des rainures pour le câblage pour la deuxième couche, en commandant leur taille précisément selon la méthode damascene double.
3] Dans la suite, un câblage en cuivre ou un bouchon d'interconnexion peut être formé selon une méthode déterminée, 5 bien que cela ne soit pas représenté à la figure 2.
[Exemples]
[Premier exemple]
Ci-après, un exemple pour réaliser un câblage en couche 10 unique sera expliqué en référence à la figure 1 qui est une illustration schématique de son processus.
Comme le montre la figure 1 (a) , on forme un film en SiC 12 en tant que film d'arrêt de gravure sur un substrat en Si 11, un film isolant 13 de revêtement à constante diélectrique 15 faible sur le film en SiC 12, puis un film d'oxyde 14 en tant que masque dur, et après, un film de réserve 15.
5] Ensuite, comme le montre la figure 1 (b) , on produit un motif pour une réserve par photolithographie et forme un motif 20 de réserve 15a.
6] Ensuite, comme le montre la figure 1(c) , on élimine une partie du masque dur 14 par gravure sèche avec le motif de réserve 15a en tant que masque. On utilise alors, en tant que dispositif de gravure sèche, un graveur d'ions réactif de type à plateau parallèle à excitation à deux fréquences qui applique des hautes fréquences de 60 MHz et de 2 MHz par exemple à la partie supérieure et à la partie inférieure respectivement. On introduit du gaz C4F8/N2/Ar = 15/225/1400 sccm, applique des puissances de 2400 W à la partie supérieure et de 3300 W à la partie inférieure en maintenant la pression à 75 mT, génère un plasma et effectue le gravure avec un agent de gravure CF. La température du stade est maintenue alors à 40 C.
7] Ensuite, comme le montre la figure 1(d), on a éliminé le motif d.e réserve 15a. Alors avec le dispositif d'incinération, on a généré un plasma dans un générateur de plasma aménagé indépendamment de sa chambre, utilisé un plasma à distance pour transporter du gaz contenant ses radicaux avec du gaz porteur, maintenu la température plus haute (de 200 C à 400 C) que la température ambiante avec du gaz mixte constitué de H2 et de He (teneur en hydrogène: 5%) , irradié des radicaux d'hydrogène sur une plaque à la condition d'une pression d'environ 1 Torr, et de ce fait éliminé la réserve.
L'incinération avec les radicaux d'hydrogène a permis de prévenir la dégradation qualitative du film en SiC en tant que masque dur, et l'incinération isotropique a permis de former un masque dur sans perte d' angle grâce au rapport selectif très important à l'égard du film en SiC.
8] Ensuite, comme le montre la figure 1 (e) , on a effectué le gravure du film isolant 13 à constante diélectrique faible avec le même dispositif de gravure que celui utilisé lors de la gravure du film de masque dur 14. On a introduit du gaz C4F8/N2/Ar = 15/225/1400 sccm, appliqué des puissances de 2400 W à la partie supérieure et de 3300 W à la partie inférieure en maintenant la pression à 75 mT, généré un plasma et effectué le gravure avec un agent de gravure CF. La température du stade a été maintenue alors à 40 C.
9] Enfin, on a éliminé une partie du film en SiC 12 en tant que film d'arrêt. A cet instant, on a effctué la gravure au moyen d'un plasma généré avec du gaz CF4/N2 = 50/300 sccm, une pression de 150 mT, et des puissances de 1000 W appliquées à la partie supérieure et de 200 W à la partie inférieure. La température du stade est restée à 40 C.
0] Afin de comparer l'effet selon l'exemple 1, on a fabriqué un semiconducteur de la même manière que l'exemple 1 sauf que du gaz oxydant tel que du 02, du NH3, du N2/H2 a été utilisé lors de l'incinération du motif de réserve. Les processus pour cela sont représentés sur la figure 3. Le détail de chaque processus est omis parce qu' il est identique à celui de la figure 1 sauf la sorte de gaz d'incinération qui diffère.
Si l'élimination de la réserve après le masque dur 34a est effectuée selon 1' incinération conventionnelle avec du 02, du NH3, du N2/H2, etc., comme on le voit sur la figure 3 (d) , l'incinération est susceptible d'endommager le masque dur lui-même et de provoquer des pertes d'angle du masque dur.
Si ce dernier est utilisé en tant que masque pour la gravure prochaine d'un film isolant 33 à constante diélectrique faible, comme dans la figure 3 (e) , il est jugé que sa forme s'effilerait sous l'effet des pertes d'angle du masque, et un usinage fidèle au motif de réserve serait difficile.
1] Dans 1' usinage d'un film isolant à constante diélectrique faible au moyen du masque dur, une telle méthode nous a permis de réaliser un usinage du masque dur remarquable par sa commandabilité de taille, en effectuant l'élimination de la réserve sous conditions de température et de pression fixes avec le gaz mixte constitué de H2 et de He après la gravure du masque dur.
[Deuxième exemple]
Un processus pour former une interconnexion (via) et un câblage pour:La deuxième couche selon la méthode damascene double au moyen du masque dur sera expliqué en référence à la figure 2 qui est une illustration schématique de ce processus.
3] Comme le montre la figure 2 (a) , on a formé un film en SiC 22 en tant que film d'arrêt de gravure sur un substrat 21 où un câblage en Cu en couche unique 27 est formé, un film isolant 23 de revêtement à constante diélectrique faible sur le film en SiC 22, puis un film en SiC 24 en tant que masque dur, puis un film antireflet 25, et après, un film de réserve 26. Par la suite, on a formé un motif de réserve 26a d'un câblage pour la deuxième couche par photolithographie du film de réserve.
4] Ensuite, comme dans la figure 2 (b) , on a éliminé une partie du masque dur 24 par gravure sèche avec le motif de réserve 26aentantquemasque. Onautiliséalors, en tant que dispositif de gravure sèche, un graveur d'ions réactif de type à plateau parallèle à excitation à deux fréquences qui applique des hautes fréquences de 60 MHz et de 2 MHz par exemple à la partie supérieure et à la partie inférieure respectivement. On a introduit du gaz CF4 = 140 sccm, appliqué des puissances de 1500 W à la partie supérieure et de 800 W à la partie inférieure en maintenant la pression à 150 mT, généré un plasma et effectué le gravure avec un agent de gravure CF. La température du stade a été maintenue alors à 40 C.
5] Ensuite, comme le montre la figure 2 (c) , on a éliminé le motif de réserve 26a. Alors avec le dispositif d'incinération, on a généré un plasma indépendamment de la chambre, utilisé un plasma à distance pour transporter du gaz contenant ses radicaux avec du gaz porteur, maintenu la température plus haute (de 200 C à 400 C) que la température ambiante avec du gaz mixte constitué de H2 et de He (teneur en hydrogène: 5%), irradié des radicaux d'hydrogène sur une plaque à la condition d'une pression d'environ 1 Torr, et de ce fait éliminé la réserve.
6] Ensuite, comme le montre la figure 2 (d) , on a appliqué une réserve 28 sur le masque dur 24a formé lors de l'étape précédente, et formé un motif de réserve 29a d'interconnexion constituant la deuxième couche par photolithographie.
Ensuite, on a effectué le gravure du film isolant 23 à constante diélectrique faible au moyen du masque de réserve 29a en tant que masque, avec le même dispositif de gravure que celui pour la gravure du masque dur 24, pour former un motif d'interconnexion. A cet instant, on a effectué la gravure au moyen d'un plasma généré avec du gaz C4F8/N2/Ar = 15/225/1400 sccm, unepression de 75 mT, et des puissances de 2400 W appliquées à la partie supérieure et de 3300 W à la partie inférieure.
La température du stade est restée à 40 C.
7] Ensuite, comme le montre la figure 2(e), on a éliminé les réserves 28,29a. Alors avec le dispositif d'incinération, on a généré un plasma indépendamment de la chambre, utilisé un plasma à distance pour transporter du gaz contenant ses radicaux avec du gaz porteur, maintenu la température plus haute (de 200 C à 400 C) que la température ambiante avec du gaz mixte constitué de H2 et de He, irradié des radicaux d'hydrogène sur une plaque à la condition d'une pression d'environ 1 Torr, et de ce fait éliminé les réserves.
8] Puis, comme le montre la figure 2 (f) , on a effectué encore lagravure du masque dur avec le même dispositif de gravure, arrêté la gravure à mi-chemin du film isolant 23 à constante diélectrique faible, et formé un motif de câblage pour la deuxième couche. A cet instant, on a effctué la gravure au moyen d'un plasma généré avec du gaz C4F8/N2/Ar = 10/150/1400 sccm, unepression de 75 mT, et des puissances de 2400 W appliquées à la partie supérieure et de 3300 W à la partie inférieure.
La température du stade est restée à 40 C.
Enfin, comme le montre la figure 2 (g) , on a éliminé une partie du film en SiC 22 en tant que film d' arrêt. A cet instant, on a effectué la gravure au moyen d'un plasma généré avec du gaz CF4/N2 = 50/300 sccm, une pression de 150 mT, et des puissances de 1000 W appliquées à la partie supérieure et de 200 W à la partie inférieure. La température du stade est restée à 40 C.
9] Dans cette gravure du film isolant 23 à constante diélectrique faible, on a effectué la gravure du film isolant à constante diélectrique faible au moyen du film en SiC qui s'était formé en rainure au préalable en tant que masque dur. Par conséquent, il s'est révélé que le rapport sélectif du film en SiC constituant un masque et du film isolant à constante diélectrique faible qui est un film gravé devenait important, la qualité du film en SiC se dégradait selon les conditions d'incinération après la formation du masque dur, le rapport sélectif s'abaissait, par suite la forme du motif de rainure s'effilait, et un usinage fidèle au masque était difficile à réaliser. Dans ce dernier cas, on a généré dans ladite étape d'incinération un plasma indépendamment de la chambre avec le dispositif d'incinération, utilisé un plasma à distance pour transporter du gaz contenant ses radicaux avec du gaz porteur, maintenu la température plus haute (de 200 C à 400 C) que la température ambiante avec du gaz mixte constitué de H2 et de He, irradié des radicaux d'hydrogène sur une plaque à la condition d'une pression comprise entre 50 Pa et 1 x 105 Pa, et de ce fait effectué l'incinération, ce qui nous a permis de prévenir la dégradation qualitative du film en SiC et de réaliser un micro-usinage.
0] Encore dans l'usinage d'un film isolant à constante diélectrique faible pour un câblage pour la deuxième couche selon la méthode damascene double au moyen du masque dur, une telle méthode nous a permis de réaliser un usinage du masque dur remarquable par sa cornmandabilité de taille, en effectuant l'élimination de la réserve sous conditions de température et de préssion fixes avec le gaz mixte constitué de H2 et de He après la gravure du masque dur.

Claims (9)

Revendications
1. Procédé de fabrication d'un dispositif semiconducteur, comprenant les étapes consistant: - à former un film isolant (13; 23) à constante diélectrique 5 faible sur un substrat (11; 21) ; - à former un film de masque dur (14; 24) sur la surface dudit film isolant (13; 23) à constante diélectrique faible; - à former un motif de réserve (15a; 26a) en appliquant et en développant une réserve à la surface dudit film de masque dur (14; 24) à former un masque dur (14a; 24a) par gravure du film de masque dur avec ledit motif de réserve (15a; 26a) en tant que masque; - à éliminer ledit motif de réserve (15a; 26a) par incinération; 15 et - à former du motif par gravure dudit film isolant (13; 23) à constante diélectrique faible au moyen dudit masque dur (14a, 24a), caracterisé en ce que l'élimination par incinération de la 20 réserve est effectuée au moyen de gaz mixte constitué de H2 et de He lors de l'étape d'incinération du motif de réserve (15a; 26a).
2. Procédé de fabrication d'un dispositif semiconducteur selon la revendication 1, caractérisé en ce que des matériaux pour ledit film de masque dur (14; 24) sont sélectionnés à partir du groupe constitué de SiC, de SiOC, de SiN, et de SiCN.
3. Procédé de fabrication d'un dispositif semiconducteur selon la revendication 1 ou 2, caractérisé en ce que ledit film isolant (13; 23) à constante diélectrique faible est un film isolant à constante diélectrique faible contenant du Si, du C, du 0 et du H, ou un film isolant à constante diélectrique faible de polymère d ' hydrocarbure organique ayant une constante diélectrique d'au plus 3.
4. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 3, caractérisé en ce que ledit film isolant (13; 23) à constante diélectrique faible est formé par la méthode de dépôt chimique en phase vapeur ou la méthode d'application.
5. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 4, caractérisé en ce que la gravure dudit film de masque dur (14; 24) ou du film isolant (13; 23) à constante diélectrique faible est effectuée au moyen d'un graveur d'ions réactif de type à plateau parallèle, à polarisation RF.
6. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 5, caractérisé en ce que la température d' incinération est de 200 C à 400 C lors de ladite étape d'incinération du motif de réserve (15; 26a).
7. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 6, caractérisé en ce que l'incinération est effectuée au moyen d'un dispositif de traitement à distance par plasma lors de ladite étape d'incinération du motif de réserve.
8. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 7, caractérisé en ce que la pression est de 50 Pa à 1 x 105 Pa lors de ladite étape d'incinération du motif de réserve (15a; 26a).
9. Procédé de fabrication d'un dispositif semiconducteur selon l'une des revendications 1 à 8, caractérisé en ce que 10 ledit substrat (11; 21) a une couche de câblage en cuivre.
FR0500634A 2004-06-28 2005-01-21 Procede de fabrication d'un dispositif semiconducteur Expired - Fee Related FR2872342B1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004189380A JP2006013190A (ja) 2004-06-28 2004-06-28 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
FR2872342A1 true FR2872342A1 (fr) 2005-12-30
FR2872342B1 FR2872342B1 (fr) 2008-07-04

Family

ID=34954175

Family Applications (1)

Application Number Title Priority Date Filing Date
FR0500634A Expired - Fee Related FR2872342B1 (fr) 2004-06-28 2005-01-21 Procede de fabrication d'un dispositif semiconducteur

Country Status (4)

Country Link
US (1) US7169708B2 (fr)
JP (1) JP2006013190A (fr)
FR (1) FR2872342B1 (fr)
TW (1) TW200600984A (fr)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7815815B2 (en) 2006-08-01 2010-10-19 Sony Corporation Method and apparatus for processing the peripheral and edge portions of a wafer after performance of a surface treatment thereon
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
KR100778869B1 (ko) * 2006-09-06 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 콘택 형성 방법
JP4237216B2 (ja) * 2006-10-05 2009-03-11 Necエレクトロニクス株式会社 半導体装置の製造方法
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US7981308B2 (en) 2007-12-31 2011-07-19 Robert Bosch Gmbh Method of etching a device using a hard mask and etch stop layer
WO2009096251A1 (fr) * 2008-01-30 2009-08-06 Tokyo Electron Limited Procédé de post-traitement d'un film amorphe d'hydrocarbure et procédé de fabrication d'un dispositif électronique par recours au procédé de post-traitement
DE102009023251B4 (de) * 2009-05-29 2011-02-24 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
US20110073998A1 (en) * 2009-09-29 2011-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Adhesion Promotion Layer For A Semiconductor Device
DE102010002454A1 (de) * 2010-02-26 2011-09-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
KR101586181B1 (ko) 2013-03-28 2016-01-15 시바우라 메카트로닉스 가부시끼가이샤 적재대 및 플라즈마 처리 장치
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9728445B2 (en) * 2014-01-22 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conducting via and damascene structure
JP5846335B1 (ja) * 2014-03-26 2016-01-20 東レ株式会社 半導体装置の製造方法及び半導体装置
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9406690B2 (en) * 2014-12-16 2016-08-02 Sandisk Technologies Llc Contact for vertical memory with dopant diffusion stopper and associated fabrication method
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (fr) 2018-10-19 2020-04-23 Lam Research Corporation Dépôt de carbure de silicium dopé ou non dopé et exposition à un plasma d'hydrogène à distance en vue d'un remplissage de vides
US20240096624A1 (en) * 2022-09-16 2024-03-21 Nanya Technology Corporation Hardmask structure and method of forming semiconductor structure
KR20240086974A (ko) * 2022-12-12 2024-06-19 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001029879A2 (fr) * 1999-10-20 2001-04-26 Mattson Technology, Inc. Systemes et procedes de decapage de resine et de traitement des residus dans la fabrication des circuits integres
WO2001059825A1 (fr) * 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Procede d'elimination de photoresine et de residus des surfaces d'un dispositif semi-conducteur
EP1276147A2 (fr) * 2001-07-09 2003-01-15 Texas Instruments Incorporated Procédé utilisant deux masques durs pour la formation des interconnexions à cuivre/k-basse
US20030032300A1 (en) * 2001-05-14 2003-02-13 Carlo Waldfried Plasma ashing process
EP1385201A2 (fr) * 2002-07-24 2004-01-28 Samsung Electronics Co., Ltd. Méthode de formation des interconnexions en double-damasquinage d'un dispositif micro-électronique
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
FR2855324A1 (fr) * 2003-05-21 2004-11-26 Semiconductor Leading Edge Tec Procede de fabrication d'une interconnexion pour un dispositif a semiconducteur

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150516A (ja) * 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
JP2000091422A (ja) * 1998-09-16 2000-03-31 Sony Corp 多層配線構造の製造方法
US6346489B1 (en) 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
JP2004087744A (ja) 2002-08-27 2004-03-18 Hitachi Ltd 半導体装置の製造方法
US7279353B2 (en) * 2003-04-02 2007-10-09 Micron Technology, Inc. Passivation planarization
US7253524B2 (en) * 2003-11-25 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnects
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001029879A2 (fr) * 1999-10-20 2001-04-26 Mattson Technology, Inc. Systemes et procedes de decapage de resine et de traitement des residus dans la fabrication des circuits integres
WO2001059825A1 (fr) * 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Procede d'elimination de photoresine et de residus des surfaces d'un dispositif semi-conducteur
US20030032300A1 (en) * 2001-05-14 2003-02-13 Carlo Waldfried Plasma ashing process
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
EP1276147A2 (fr) * 2001-07-09 2003-01-15 Texas Instruments Incorporated Procédé utilisant deux masques durs pour la formation des interconnexions à cuivre/k-basse
EP1385201A2 (fr) * 2002-07-24 2004-01-28 Samsung Electronics Co., Ltd. Méthode de formation des interconnexions en double-damasquinage d'un dispositif micro-électronique
FR2855324A1 (fr) * 2003-05-21 2004-11-26 Semiconductor Leading Edge Tec Procede de fabrication d'une interconnexion pour un dispositif a semiconducteur

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
BLASCHTA F ET AL: "SiO2 aerogel ultra low k dielectric patterning using different hard mask concepts and stripping processes", EUROPEAN WORKSHOP ON MATERIALS FOR ADVANCED METALLIZATION 2004 7-10 MARCH 2004 BRUSSELS, BELGIUM, vol. 76, no. 1-4, October 2004 (2004-10-01), Microelectronic Engineering Elsevier Netherlands, pages 8 - 15, XP002334636, ISSN: 0167-9317 *
FURUKAWA Y ET AL: "Etch and strip induced material modification of porous low-k (k=2.2) dielectric", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 76, no. 1-4, October 2004 (2004-10-01), pages 25 - 31, XP004565765, ISSN: 0167-9317 *
OHASHI N ET AL: "Robust Porous MSQ (k=2.3, E=12 GPa) for Low-temperature(>350/spl deg/C) Cu/Low-k Integration using ArF Resist Mask Process", INTERNATIONAL ELECTRON DEVICES MEETING 2003. IEDM. TECHNICAL DIGEST. WASHINGTON, DC, DEC 8 - 10, 2003, NEW YORK, NY : IEEE, US, 8 December 2003 (2003-12-08), pages 857 - 860, XP010684210, ISBN: 0-7803-7872-5 *

Also Published As

Publication number Publication date
TWI366873B (fr) 2012-06-21
JP2006013190A (ja) 2006-01-12
US20050287811A1 (en) 2005-12-29
FR2872342B1 (fr) 2008-07-04
TW200600984A (en) 2006-01-01
US7169708B2 (en) 2007-01-30

Similar Documents

Publication Publication Date Title
FR2872342A1 (fr) Procede de fabrication d'un dispositif semiconducteur
US7838432B2 (en) Etch process with controlled critical dimension shrink
US6465352B1 (en) Method for removing dry-etching residue in a semiconductor device fabricating process
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
EP0528713B1 (fr) Procédé de contrôle du profil de gravure d'une couche d'un circuit intégré
US7473639B2 (en) Method of forming dual damascene pattern
JP2007129219A (ja) 二酸化シリコンに対してc4f8及び窒化チタンに対してcf4を用いるエッチング工程
FR3037712A1 (fr) Methode de realisation de motifs par implantation
EP1030353A1 (fr) Procédé de gravure anisotrope par plasma gazeux d'un matériau polymère diélectrique organique
US20030190807A1 (en) Method for manufacturing semiconductor device
EP1299902B1 (fr) Procede de realisation d'une grille pour une structure de transistor cmos a canal de longueur reduite
FR3051964A1 (fr) Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
EP3671814B1 (fr) Procédé de gravure d'une couche diélectrique
US20010005635A1 (en) Ashing method and method of producing wired device
EP0216425B1 (fr) Procédé pour aplanir la surface d'un dispositif semiconducteur utilisant du nitrure de silicium comme materiau isolant
US6379872B1 (en) Etching of anti-reflective coatings
FR2755297A1 (fr) Procede de formation d'un cablage multicouche dans un composant a semiconducteur
FR2763424A1 (fr) Processus de damasquinage double
KR100621562B1 (ko) Co 가스에 의해 형성된 선택적 폴리머 마스크를사용하는 건식 식각 방법
JP5493165B2 (ja) 半導体装置の製造方法
JP2007116167A (ja) 特徴画成部を形成する方法
US6830877B2 (en) Method for forming via and contact holes with deep UV photoresist
US11688604B2 (en) Method for using ultra thin ruthenium metal hard mask for etching profile control
JP4120272B2 (ja) 絶縁膜のエッチング方法および半導体装置のコンタクト形成方法
TW202201484A (zh) 在微電子工件的處理中euv逆圖案化的方法

Legal Events

Date Code Title Description
TP Transmission of property
ST Notification of lapse

Effective date: 20130930