EP1852438B1 - Tantalverbindung, verfahren zu deren herstellung, tantalhaltiger dünner film und verfahren zu dessen herstellung - Google Patents

Tantalverbindung, verfahren zu deren herstellung, tantalhaltiger dünner film und verfahren zu dessen herstellung Download PDF

Info

Publication number
EP1852438B1
EP1852438B1 EP06712311A EP06712311A EP1852438B1 EP 1852438 B1 EP1852438 B1 EP 1852438B1 EP 06712311 A EP06712311 A EP 06712311A EP 06712311 A EP06712311 A EP 06712311A EP 1852438 B1 EP1852438 B1 EP 1852438B1
Authority
EP
European Patent Office
Prior art keywords
tantalum
thin film
group
general formula
containing thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
EP06712311A
Other languages
English (en)
French (fr)
Japanese (ja)
Other versions
EP1852438A1 (de
EP1852438A4 (de
Inventor
Kenichi Sekimoto
Ken-Ichi Tada
Mayumi Takamori
Tetsu Yamakawa
Taishi Furukawa
Noriaki Oshima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sagami Chemical Research Institute
Tosoh Corp
Original Assignee
Sagami Chemical Research Institute
Tosoh Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sagami Chemical Research Institute, Tosoh Corp filed Critical Sagami Chemical Research Institute
Publication of EP1852438A1 publication Critical patent/EP1852438A1/de
Publication of EP1852438A4 publication Critical patent/EP1852438A4/de
Application granted granted Critical
Publication of EP1852438B1 publication Critical patent/EP1852438B1/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F19/00Metal compounds according to more than one of main groups C07F1/00 - C07F17/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428

Definitions

  • the present invention relates to a novel tantalum compound and a method for producing the same.
  • the tantalum compound of the present invention is useful for the formation of a tantalum-containing thin film by a chemical vapor deposition method (CVD method) or an atomic layer deposition method (ALD method). Further, it relates to a method for forming a tantalum-containing thin film which is useful for the production of semiconductor devices.
  • CVD method chemical vapor deposition method
  • ALD method atomic layer deposition method
  • the tantalum nitride film has poor adhesion to a copper seed film for forming a copper wiring by plating, and film peeling occurs between the tantalum nitride film and the copper seed film when forming a wiring or planarizing after wiring formation, resulting in generation of defect.
  • a method is employed that a metallic tantalum film is formed between the tantalum nitride film as a barrier film and the copper seed film, thereby preventing the film peeling.
  • a tantalum nitride film and a metallic tantalum film are mainly formed by a physical vapor deposition method (PVD method) by sputtering.
  • PVD method physical vapor deposition method
  • CVD method chemical vapor deposition method
  • ALD method atomic layer deposition method
  • a tantalum nitride film or a metallic tantalum film is formed by CVD method or ALD method, it is desirable that both films can be formed from the same tantalum raw material in one reaction chamber.
  • a halide such as TaCl 5 and TaBr 5 is investigated (for example, see Non-Patent Document 1).
  • the halide has high melting point and is required to vaporize by sublimation. Thus, it is difficult to use the halide as a raw material in CVD method or ALD method. Additionally, there are the problems on corrosion of a film due to a residual halogen in a film, deterioration of adhesion and the like. On the other hand, in the amide compound, because nitrogen remains in a film, it is possible to form a tantalum nitride film, but it is difficult to form a metallic tantalum film.
  • a tantalum raw material for CVD or ALD that does not contain a halogen and nitrogen in the molecule is required, and a method of using an organometallic compound such as ((Si(CH 3 ) 3 )C 5 H 4 )Ta(CO) (see Patent Document 1) or ((Si (CH 3 ) 3 )C 5 H 4 ) 2 TaH 3 (see Patent Document 2) is investigated.
  • an organometallic compound such as ((Si(CH 3 ) 3 )C 5 H 4 )Ta(CO) (see Patent Document 1) or ((Si (CH 3 ) 3 )C 5 H 4 ) 2 TaH 3 (see Patent Document 2) is investigated.
  • an organometallic compound such as ((Si(CH 3 ) 3 )C 5 H 4 )Ta(CO) (see Patent Document 1) or ((Si (CH 3 ) 3 )C 5 H 4 ) 2 TaH 3 (see Patent Document 2) is investigated.
  • an organometallic compound such as ((
  • Cp 2 TaH 3 (see Non-Patent Document 4) and Cp 2 Ta(CO)H (see Non-Patent Document 5) are known a tantalum-containing organometallic compound.
  • US-A-5 130 172 discloses a process for forming, a tantalum-containing thin film which comprises using pentamethyltantalum, i.e. Ta(CH 3 ) 5 or bis(cyclo pentadienyl)trihydridotantalum, i.e. (cp) 2 TaH 3 , as a raw material.
  • pentamethyltantalum i.e. Ta(CH 3 ) 5
  • bis(cyclo pentadienyl)trihydridotantalum i.e. (cp) 2 TaH 3
  • WO 2004/065650 A2 discloses chemical vapor deposition precursors for deposition of tantalum-based materials.
  • a first object of the present invention is to provide a novel tantalum compound which does not contain a halogen and nitrogen in its molecule, and enables to selectively form a tantalum-containing thin film which does not contain those elements, and various tantalum-containing thin films containing the desired element by the addition of a reactive gas, and a method for producing the same. Further, a second object of the present invention is to provide a method for stably forming a tantalum-containing thin film which contains the desired element, and a tantalum-containing thin film which contains an optional element.
  • tantalum compounds represented by the following general formula (1).
  • the first invention is a tantalum compound characterized in that it is represented by the following general formula (1) in which R 1 represents an ethyl group or a propyl group.
  • the tantalum compound represented by the general formula (1) which is characterized by reacting carbon monoxide with a tantalum compound represented by the following general formula (2) in which R 2 represents an ethyl group or a propyl group.
  • the present inventors have found that the above second object can be achieved by using a tantalum compound represented by the following general formula (6) as a raw material.
  • a novel tantalum compound of the present invention can be used as a raw material of a tantalum-containing thin film by CVD method or ALD method from its vaporization and decomposition characteristics. Further, because of not containing a halogen and nitrogen in its molecule, it is possible to form a tantalum-containing thin film which does not contain those elements, and to form various tantalum-containing thin films which contain the desired element by the addition of a reactive gas. Further, a novel tantalum compound of the present invention can expect a function as a reaction catalyst.
  • the method for forming a tantalum-containing thin film of the present invention makes it possible to stably form various tantalum thin films containing the desired element, such as a metallic tantalum thin film and a tantalum nitride thin film.
  • Cp means a cyclopentadienyl group
  • Et means an ethyl group
  • Pr means a propyl group
  • Bu means a butyl group
  • THF means tetrahydrofuran
  • the tantalum compound represented by the above general formula (2) which does not form part of the invention, can be synthesized by using a tantalum halide such as TaCl 5 shown by the general formula (3) as a raw material.
  • the synthesis method can apply a method known as the synthesis method of TaCp 2 H 3 .
  • the following method can be applied; a method of reacting the tantalum halide represented by the above general formula (3) and isopropyl magnesium bromide, reacting an alkali metal salt of the substituted cyclopentadiene represented by the general formula (4) to synthesize a tantalum compound represented by the general formula (5) in which R 2 represents an ethyl group or a propyl, and X represents a halogen, and reacting a reducing agent with this compound to synthesize, as described in M. L. H. Green and B. Jousseaume, J. Organomet. Chem.
  • TaCl 5 , TaBr 5 , TaI 5 and the like can be used, and TaCl 5 is preferable in the points of cost and availability.
  • the reducing agent NaAlH 2 (OCH 2 CH 2 OCH 3 ) 2 , NaBH 4 , LiAlH 4 and the like can be used.
  • the alkali metal salt of the substituted cyclopentadiene is preferably Na salts, K salts and Li salts.
  • ether solvents such as diethyl ether and THF
  • hydrocarbon solvents such as hexane, heptane, octane, toluene and xylene can be used.
  • the tantalum compound represented by the above general formula (1) can be synthesized by reacting carbon monoxide with the tantalum compound represented by the general formula (2).
  • the reaction can be conducted in a carbon monoxide atmosphere under pressure or at normal pressures by dissolving or suspending the tantalum compound represented by the general formula (2) in a solvent.
  • a solvent used is preferably a hydrocarbon solvent having a boiling point of 100°C or higher, such as toluene, xylene, octane, nonane and decane.
  • the substituent R 2 in the tantalum compound represented by the general formula (2) directly constitutes the substituent R 1 in the tantalum compound represented by the general formula (1) without receiving change by this reaction.
  • the tantalum compound represented by the above general formula (1) can be vaporized at 200°C or lower from thermal analysis (TG and DSC) and thermally decompose at 200 to 300°C. Therefore, this compound can be used as a raw material for the formation of a tantalum-containing thin film by CVD method or ALD method.
  • a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, an isopropyl group, a tert-butyl group and the like can be exemplified as the alkyl group having from 1 to 6 carbon atoms, and a trimethylsilyl group, an ethyldimethylsilyl group, a diethylmethylsilyl group, a triethylsilyl group and the like can be exemplified as the trialkylsilyl group having from 3 to 6 carbon atoms shown by R 3 , R 4 , R 5 and R 6 .
  • a trifluoromethyl group, a perfluoroethyl group, a perfluoropropyl group, a perfluorobutyl group, a perfluoropentyl group, a perfluorohexyl group, a perfluoroisopropyl group, a perfluoro-tert-butyl group and the like can be exemplified as the alkyl group having from 1 to 6 carbon atoms which may be substituted with at least one fluorine atom.
  • the second invention is characterized in that the tantalum compound of the above general formula (6) is used as a raw material of a tantalum-containing thin film, but a method for forming the tantalum-containing thin film from the tantalum compound of the above general formula (6) is not particularly limited.
  • CVD method or ALD method is preferable as a film-forming method.
  • a raw material preferably has low melting point and high vapor pressure.
  • R 4 and R 6 are a hydrogen atom
  • R 3 and R 5 are a straight-chain alkyl group having from 1 to 4 carbon atoms, a trimethylsilyl group or a trifluoromethyl group
  • R 4 and R 6 are a hydrogen atom
  • R 3 and R 5 are a straight-chain alkyl group having from 2 to 4 carbon atoms.
  • R 4 and R 6 are a hydrogen atom, and R 3 and R 5 are an ethyl group or a propyl group, and it is particularly preferable that R 4 and R 6 are a hydrogen atom, and R 3 and R 5 are an ethyl group.
  • the tantalum compound represented by the above general formula (6) can be synthesized by reacting carbon monoxide with Ta((R 3 ) j (R 4 ) k Cp)((R 5 ) m (R 6 ) n Cp)H 3 that can be synthesized from a tantalum halide such as TaCl 5 .
  • the synthesis method of Ta((R 3 ) j (R 4 ) k Cp)((R 5 ) m (R 6 ) n Cp)H 3 can apply a method known as the synthesis method of TaCp 2 H 3 when (R 3 ) j (R 4 ) k Cp and (R 5 ) m (R 6 ) n Cp are the same.
  • the following method can be applied; a synthesis method by reacting a tantalum halide and isopropyl magnesium bromide, reacting an alkali metal salt of a substituted cyclopendadiene to synthesize Ta((R 3 ) j (R 4 ) k Cp)((R 5 ) m (R 6 ) n Cp)X 2 (X represents a halogen), and reacting a reducing agent with this, as described in M. L. H. Green and B. Jousseaume, J. Organomet. Chem.
  • TaCl 5 As the tantalum halide, TaCl 5 , TaBrs, TaI 5 and the like can be used, and TaCl 5 is preferable from the points of cost and availability.
  • the reducing agent NaAlH 2 (OCH 2 CH 2 OCH 3 ) 2 , NaBH 4 , LiAlB 4 and the like can be used.
  • the alkali metal salt of the substituted cyclopentadiene is preferably Na salts, K salts and Li salts.
  • ether solvents such as diethyl ether and THF
  • hydrocarbon solvents such as hexane, heptane, octane, toluene and xylene can be used.
  • the reaction of Ta ((R 3 ) j (R 4 ) k Cp) ((R 5 ) m (R 6 ) n Cp) H 3 and carbon monoxide can be conducted in a state of dissolving or dispersing in a solvent under pressure or at normal pressures in a carbon monoxide atmosphere.
  • a solvent used is preferably a hydrocarbon solvent having a boiling point of 100°C or higher, such as toluene, xylene, octane, nonane and decane.
  • the tantalum compound represented by the general formula (6) is not particularly limited so far as it does not react with the tantalum compound, and hydrocarbon solvents such as hexane, cyclohexane, heptane, octane, toluene and xylene are preferably used.
  • a raw material is gasified and supplied onto a substrate, and its method can be carried out by a bubbling method of blowing a carrier gas such as Ar into a liquefied raw material and supplying the raw material gas together with the carrier gas onto a substrate; a sublimation method of heating a solid raw material to sublimate and supplying the raw material gas together with a carrier gas onto a substrate; a liquid injection method of vaporizing a liquefied raw material or a solution of a raw material in a vaporizer and supplying the gas onto a substrate; and the like.
  • a bubbling method of blowing a carrier gas such as Ar into a liquefied raw material and supplying the raw material gas together with the carrier gas onto a substrate
  • a sublimation method of heating a solid raw material to sublimate and supplying the raw material gas together with a carrier gas onto a substrate
  • a liquid injection method of vaporizing a liquefied raw material or a solution of a raw material in a
  • Formation of a thin film is carried out by decomposing a raw material supplied onto a substrate. It is possible to conduct the decomposition with only heat, but plasma, light and the like may be used together. In forming the thin film, it is possible to change the composition of the thin film by coexisting a reactive gas.
  • a metallic tantalum thin film by supplying a reducing gas such as hydrogen, followed by film formation, and it is possible to form a tantalum nitride thin film by supplying a N-containing gas such as ammonia, methylhydrazine, dimethylhydrazine, ethylhydrazine, diethylhydrazine, butylhydrazine, phenylhydrazine, ethyl azide, butyl azide or phenyl azide, followed by film formation.
  • a reducing gas such as hydrogen
  • tantalum silicide thin film by supplying a Si-containing gas such as monosilane, disilane, dichlorosilane, trichlorosilane or tetrachlorosilane, followed by film formation, and it is possible to form a tantalum oxide thin film by supplying an oxygen-containing gas such as oxygen, ozone or water vapor, followed by film formation. It is further possible to form a tantalum carbide thin film, a tantalum carbonitride thin film and a tantalum siliconitride thin film, depending on the combination of reactive gases, film formation conditions and the like.
  • Si-containing gas such as monosilane, disilane, dichlorosilane, trichlorosilane or tetrachlorosilane
  • Film-forming methods other than CVD method and ALD method for example, film-forming methods by a spin coating method, a dipping method, a spraying method and the like that supply a raw material in a form of a liquid or a solution, are included in the present invention.
  • the tantalum compound obtained has a wide temperature range at which the compound can vaporize stably without decomposition, and thermally decomposes in the vicinity of 260°C, and the compound is therefore suitable as a raw material of a tantalum-containing thin film by CVD method or ALD method
  • 1 H-NMR Benzene-d 6 , ⁇ ppm
  • 4.52 m, 4H, C 5 H 4 Br
  • 4.46 m, 2H, C 5 H 4 Pr
  • 4.41 m, 2H, C 5 H 4 Br
  • a cylinder containing hydridobis (propylcyclopentadienyl)carbonyltantalum therein was heated to 100°C, inner pressure was maintained at 13.33 kPa (100 Torr), and an argon gas as a carrier gas was blown at a flow rate of 100 seem to vaporize the compound.
  • This was diluted with an argon gas at a flow rate of 100 sccm, and introduced into a reaction chamber maintained at 0.53 kPa (4 Torr).
  • This gas was thermally decomposed on a silicon oxide/silicon substrate heated to 400°C to deposit a thin film thereon.
  • XPS X-ray photoelectron spectroscopy analyzer
  • a cylinder containing hydridobis(propylcyolopentadienyl)carbonyltantalum therein was heated to 100°C, inner pressure was maintained at 13.33 kPa (100 Torr), and an argon gas as a carrier gas was blown at a flow rate of 100 sccm to vaporize the compound.
  • This was diluted with an argon gas at a flow rate of 100 sccm, and introduced into a reaction chamber maintained at 0.53 kPa (4 Torr), and at the same time, an ammonia gas was introduced into the reaction chamber at a flow rate of 2 sccm.
  • This gas was thermally decomposed on a silicon oxide/silicon substrate heated to 400°C to deposit a thin film thereon.
  • XPS X-ray photoelectron spectroscopy analyzer
  • tantalum-containing thin film on silicon oxide/silicon substrate by thermal CVD method using bis(ethylcyclopentadienyl)hydridocarbonyltantalum as raw material
  • a cylinder containing bis(ethylcyclopentadienyl)-hydridocarbonyltantalum therein was heated to 90°C, inner pressure was maintained at 13.33 kPa (100 Torr), and an argon gas as a carrier gas was blown at a flow rate of 100 sccm to vaporize the compound. This was diluted with an argon gas at a flow rate of 100 sccm, and introduced into a reaction chamber maintained at 1.33 kPa (10 Torr). This gas was thermally decomposed on a silicon oxide/silicon substrate heated to 600°C to deposit a thin film thereon.
  • XPS X-ray photoelectron spectroscopy analyzer
  • a cylinder containing bis(ethylcyclopentadienyl)-hydridocarbonyltantalum therein was heated to 90°C, inner pressure was maintained at 6.67 kPa (50 Torr), and an argon gas as a carrier gas was blown at a flow rate of 28 sccm to vaporize the compound.
  • This was introduced into a plasma-generating reaction chamber maintained at 0.2 kPa (1.5 ⁇ 10 -3 Torr) to deposit a thin film on a silicon oxide/silicon substrate heated to 300°C.
  • Plasma was generated by 2.45 GHz, 600W microwave and 875G applied magnetic field in an argon gas at a flow rate of 10 sccm.
  • XPS X-ray photoelectron spectroscopy analyzer
  • a cylinder containing bis(ethylcyclopentadienyl)-hydridocarbonyltantalum therein was heated to 90°C, inner pressure was maintained at 6.67 kPa (50 Torr), and an argon gas as a carrier gas was blown at a flow rate of 28 sccm to vaporize the compound.
  • This was introduced into a plasma-generating reaction chamber maintained at 0.2 kPa (1.5 ⁇ 10 -3 Torr) to deposit a thin film on a silicon substrate heated to 400°C.
  • Plasma was generated by 2.45 GHz, 600W microwave and 875G applied magnetic field in an argon gas at a flow rate of 10 sccm.
  • XPS X-ray photoelectron spectroscopy analyzer
  • a cylinder containing bis(ethylcyclopentadienyl)-hydridocarbonyltantalum therein was heated to 90°C, inner pressure was maintained at 9.33 kPa (70 Torr), and an argon gas as a carrier gas was blown at a flow rate of 55 sccm to vaporize the compound.
  • This was introduced into a plasma-generating reaction chamber maintained at 1 Pa (7.5 ⁇ 10 -3 Torr) to deposit a thin film on a silicon substrate heated to 300°C.
  • Plasma was generated by 2.45 GHz, 600W microwave and 875G applied magnetic field in an argon gas containing 4% hydrogen at a flow rate of 40 sccm.
  • a novel tantalum compound which enables to selectively form a tantalum-containing thin film free of halogen and the like, and various tantalum-containing thin films which contain the desired element, and a method for producing the same can be provided. Further, a method for stably forming a tantalum-containing thin film which contains the desired element can be provided.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Claims (7)

  1. Tantalverbindung, die durch die folgende allgemeine Formel (1) dargestellt ist:
    Figure imgb0009
    worin R1 für eine Ethylgruppe oder eine Propylgruppe steht.
  2. Tantalverbindung nach Anspruch 1, dadurch gekennzeichnet, dass R1 eine Ethylgruppe ist.
  3. Verfahren zur Herstellung einer Tantalverbindung, die durch die folgende allgemeine Formel (1) dargestellt ist:
    Figure imgb0010
    worin R1 für eine Ethylgruppe oder eine Propylgruppe steht,
    das das Zur-Reaktion-Bringen von Kohlenmonoxid mit einer Tantalverbindung umfasst, die durch die folgende allgemeine Formel (2) dargestellt ist:
    Figure imgb0011
    worin R2 für eine Ethylgruppe oder eine Propylgruppe steht.
  4. Verfahren zur Herstellung einer tantalhaltigen Dünnschicht, das die Verwendung einer Tantalverbindung als einen Ausgangsstoff umfasst, die durch die folgende allgemeine Formel (6) dargestellt ist:
    Figure imgb0012
    worin j, k, m und n Ganzzahlen von 1 bis 4 sind, die j + k = 5 und m + n = 5 erfüllen, und R3 bis R6 für ein Wasserstoffatom, eine Alkylgruppe mit 1 bis 6 Kohlenstoffatomen, eine Trialkylsilylgruppe mit 3 bis 6 Kohlenstoffatomen oder eine Alkylgruppe mit 1 bis 6 Kohlenstoffatomen stehen, die durch mindestens ein Fluoratom substituiert sein kann.
  5. Verfahren zur Herstellung einer tantalhaltigen Dünnschicht nach Anspruch 4, dadurch gekennzeichnet, dass j = 1 ist, m = 1 ist, R3 und R5 eine geradkettige Alkylgruppe mit 2 bis 4 Kohlenstoffatomen sind und R4 und R6 ein Wasserstoffatom sind.
  6. Verfahren zur Herstellung einer tantalhaltigen Dünnschicht nach Anspruch 5, dadurch gekennzeichnet, dass j = 1 ist, m = 1 ist, R3 und R5 eine Ethylgruppe oder eine Propylgruppe sind und R4 und R6 ein Wasserstoffatom sind.
  7. Verfahren zur Herstellung einer tantalhaltigen Dünnschicht nach Anspruch 6, dadurch gekennzeichnet, dass j = 1 ist, m = 1 ist, R3 und R5 eine Ethylgruppe sind und R4 und R6 ein Wasserstoffatom sind.
EP06712311A 2005-02-02 2006-01-25 Tantalverbindung, verfahren zu deren herstellung, tantalhaltiger dünner film und verfahren zu dessen herstellung Expired - Fee Related EP1852438B1 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2005026728 2005-02-02
JP2005026727 2005-02-02
JP2005243054 2005-08-24
JP2005243053 2005-08-24
PCT/JP2006/301116 WO2006082739A1 (ja) 2005-02-02 2006-01-25 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法

Publications (3)

Publication Number Publication Date
EP1852438A1 EP1852438A1 (de) 2007-11-07
EP1852438A4 EP1852438A4 (de) 2008-02-06
EP1852438B1 true EP1852438B1 (de) 2011-06-01

Family

ID=36777127

Family Applications (1)

Application Number Title Priority Date Filing Date
EP06712311A Expired - Fee Related EP1852438B1 (de) 2005-02-02 2006-01-25 Tantalverbindung, verfahren zu deren herstellung, tantalhaltiger dünner film und verfahren zu dessen herstellung

Country Status (7)

Country Link
US (1) US7592471B2 (de)
EP (1) EP1852438B1 (de)
JP (1) JP5053543B2 (de)
KR (1) KR101215086B1 (de)
CN (1) CN101111502B (de)
TW (1) TWI369360B (de)
WO (1) WO2006082739A1 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
JP2009016782A (ja) * 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
TWI425110B (zh) 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
CN102112654B (zh) * 2008-08-01 2013-03-20 乔治洛德方法研究和开发液化空气有限公司 在基质上形成含钽层的方法
DE102011078928A1 (de) 2011-07-11 2013-01-17 Carl Zeiss Smt Gmbh Beleuchtungsoptik für die Projektionslithografie
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
TW201741490A (zh) * 2016-05-27 2017-12-01 Tes股份有限公司 金屬碳膜的沈積方法
JP6777933B2 (ja) * 2016-12-02 2020-10-28 株式会社高純度化学研究所 化学蒸着用原料及びその製造方法、並びに該化学蒸着用原料を用いて形成されるインジウムを含有する酸化物の膜の製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130172A (en) * 1988-10-21 1992-07-14 The Regents Of The University Of California Low temperature organometallic deposition of metals
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6491987B2 (en) * 1999-05-03 2002-12-10 Guardian Indusries Corp. Process for depositing DLC inclusive coating with surface roughness on substrate
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6989457B2 (en) * 2003-01-16 2006-01-24 Advanced Technology Materials, Inc. Chemical vapor deposition precursors for deposition of tantalum-based materials

Also Published As

Publication number Publication date
CN101111502B (zh) 2011-06-15
JP5053543B2 (ja) 2012-10-17
KR101215086B1 (ko) 2012-12-24
WO2006082739A1 (ja) 2006-08-10
KR20070101352A (ko) 2007-10-16
JP2007084522A (ja) 2007-04-05
EP1852438A1 (de) 2007-11-07
TW200635939A (en) 2006-10-16
EP1852438A4 (de) 2008-02-06
US20090043119A1 (en) 2009-02-12
CN101111502A (zh) 2008-01-23
US7592471B2 (en) 2009-09-22
TWI369360B (en) 2012-08-01

Similar Documents

Publication Publication Date Title
EP1852438B1 (de) Tantalverbindung, verfahren zu deren herstellung, tantalhaltiger dünner film und verfahren zu dessen herstellung
EP2069373B1 (de) Organometallische vorläuferverbindungen
EP1921061B1 (de) Metallhaltige verbindung, verfahren zu deren herstellung und verfahren zur bildung eines metallhaltiger dünnen film
EP1814892B1 (de) Metallorganische verbindungen und verfahren zu deren herstellung
JP2015042781A (ja) 揮発性ジヒドロピラジニル及びジヒドロピラジン金属錯体
EP1676849A1 (de) Flüchtige Ketoiminat-Metallkomplexe
US20040142555A1 (en) Chemical vapor deposition precursors for deposition of tantalum-based materials
US11498938B2 (en) Organometallic compounds useful for chemical phase deposition
CN101316855A (zh) 有机金属化合物及其用法
TWI722456B (zh) 雙(二氮雜二烯)鈷化合物、其製造方法及使用方法
KR102110739B1 (ko) 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
TW202100535A (zh) 用於薄膜沉積的新穎第v及vi族過渡金屬前驅物
Park et al. Synthesis of Cu (II) aminoalkoxide complexes and their unusual thermolysis to Cu (0)
KR20060010746A (ko) 원자층 증착에 의한 구리 필름의 증착용 휘발성 구리(i)착물
JP5096016B2 (ja) タンタル化合物とその製造方法、及びそれを原料とするタンタル含有薄膜とその形成方法
JP2005132756A (ja) タンタル化合物、その製造方法およびタンタル含有薄膜の形成方法
TWI672390B (zh) 二取代炔基二鈷六羰基化合物、其製造及使用方法
KR102592166B1 (ko) 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
WO2024107593A1 (en) Intramolecular stabilized group 13 metal complexes with improved thermal stability for vapor phase thin-film deposition techniques
JP2005132757A (ja) タンタル化合物、その製造方法、及びタンタル含有薄膜の形成方法
EP1792907A1 (de) Flüchtige Ketoiminat-Metallkomplexe
JP2002161069A (ja) 有機銅化合物及び該化合物を含む混合液並びにそれを用いて作製された銅薄膜

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20070730

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): DE FR GB

A4 Supplementary search report drawn up and despatched

Effective date: 20080109

RIC1 Information provided on ipc code assigned before grant

Ipc: C23C 16/30 20060101ALI20080103BHEP

Ipc: C07F 17/00 20060101AFI20080103BHEP

DAX Request for extension of the european patent (deleted)
RBV Designated contracting states (corrected)

Designated state(s): DE FR GB

17Q First examination report despatched

Effective date: 20080618

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

RIN1 Information on inventor provided before grant (corrected)

Inventor name: OSHIMA, NORIAKI

Inventor name: FURUKAWA, TAISHI

Inventor name: YAMAKAWA, TETSU

Inventor name: TAKAMORI, MAYUMI

Inventor name: TADA, KEN-ICHI

Inventor name: SEKIMOTO, KENICHI

RAP1 Party data changed (applicant data changed or rights of an application transferred)

Owner name: TOSOH CORPORATION

Owner name: SAGAMI CHEMICAL RESEARCH INSTITUTE

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): DE FR GB

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 602006022269

Country of ref document: DE

Effective date: 20110714

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20120302

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 602006022269

Country of ref document: DE

Effective date: 20120302

REG Reference to a national code

Ref country code: FR

Ref legal event code: PLFP

Year of fee payment: 11

REG Reference to a national code

Ref country code: FR

Ref legal event code: PLFP

Year of fee payment: 12

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: FR

Payment date: 20161215

Year of fee payment: 12

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20170117

Year of fee payment: 12

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: GB

Payment date: 20170125

Year of fee payment: 12

REG Reference to a national code

Ref country code: DE

Ref legal event code: R119

Ref document number: 602006022269

Country of ref document: DE

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20180125

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: DE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20180801

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20180131

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20180928

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20180125

P01 Opt-out of the competence of the unified patent court (upc) registered

Effective date: 20230523