EP1166341A1 - Procede de gravure ionique reactive - Google Patents

Procede de gravure ionique reactive

Info

Publication number
EP1166341A1
EP1166341A1 EP00914290A EP00914290A EP1166341A1 EP 1166341 A1 EP1166341 A1 EP 1166341A1 EP 00914290 A EP00914290 A EP 00914290A EP 00914290 A EP00914290 A EP 00914290A EP 1166341 A1 EP1166341 A1 EP 1166341A1
Authority
EP
European Patent Office
Prior art keywords
waveguide
rie
seem
fabricating
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP00914290A
Other languages
German (de)
English (en)
Inventor
Jesus Miguel Ruana-Lopez
James Ronald Bonar
Andrew James Mclaughlin
Paulo Vicente Da Silva Marques
Michael George Jubber
Christopher D. W. The Unv. CourtUnv. Wilkinson
James Stewart Aitchison
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Glasgow
Original Assignee
University of Glasgow
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Glasgow filed Critical University of Glasgow
Publication of EP1166341A1 publication Critical patent/EP1166341A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching

Definitions

  • the invention relates to a reactive ion etching (RIE) process, in particular to a RIE process which can be used in the fabrication of an optical waveguide with low surface and sidewall roughness and which has low levels of material re-deposition.
  • RIE reactive ion etching
  • Such components include large scale silica glass film waveguides whose planar dimensions are normally in the range 4 ⁇ m to 8 ⁇ m but which can exceed lO ⁇ m. This differs from devices which are fabricated for the semiconductor industry, where etch depths are small ( ⁇ 2 ⁇ m) and where the amount of etched material is typically less than 5%, such that the "loading effect", or amount of material redeposition, is reduced.
  • the deep etching of the silica glass films during the fabrication of such waveguides by dry etch mask techniques has several known disadvantages .
  • RIE processes have several disadvantages known in the prior art. RIE generally depends on ion assisted chemical reactions forming volatile species which are subsequently removed during the waveguide fabrication process. However, it is desirable in certain applications for the waveguides to be doped with rare earth or heavy metal species which form involatile products during the RIE process. These involatile products enable surface imperfections or "grass” to develop on the etched surfaces surrounding the waveguide.
  • the RIE process can be controlled so that certain parameters directly or indirectly affect the etchant speed and the amount of ion re-deposition which occurs during the RIE processing stage of fabricating an optical waveguide.
  • the invention seeks to provide an RIE process which achieves a fast etch speed which preserves the integrity of the mask used to define the waveguide core area and which also provides high quality waveguide core side walls.
  • etchant gas which may be a mixture of actively etching gas(es) with dilutant or process gas(es)
  • Suitable ranges of values for the RIE process parameters are provided according to the invention to enable the RIE process to produce heavy metal or rare earth doped channel waveguides.
  • the waveguide cores are formed with a desirably low level of surface roughness and are etched at a desirable speed with minimal damage to the waveguide side walls.
  • a range of values for the pressure of an etchant gas, the rate at which the etchant gas is supplied, and the radio frequency (r.f) power density used in the RIE process are given.
  • a reactive ion etching process comprising the steps of: controlling the flow rate of at least one etchant gas used in said reactive ion etching process; controlling the pressure of said at least one etchant gas ; and controlling the r.f. power density used in said reactive ion etching process, wherein the parameters of flow rate, pressure and r.f. power are selected to obtain a desired etch rate and/or to reduce the level of ion dopant material redeposited in the reactive ion etching process .
  • Figs. 1A to IC show scanned electron micrographs of a erbium doped phosphosilicate waveguide with varying levels of surface roughness.
  • Figs .1A to IC illustrate scanned electron microscope images of erbium doped phosphosilicate waveguides formed by a method of fabricating an optical waveguide which incorporates the method of optimising the reactive ion etching (RIE) process to achieve a desired etch rate and/or level of etched surface roughness.
  • RIE reactive ion etching
  • an optical waveguide 1 which displays a moderate number of surface defects 2.
  • the RIE process in the fabrication of the optical waveguide has been controlled to ensure a rapid etch rate to the detriment of the smoothness of the waveguide surface.
  • Fig. IB an optical waveguide 3 is shown which displays a fewer surface defects 4, than shown in Fig. 1A.
  • the RIE process in the fabrication of the optical waveguide has been controlled to slightly compromise the rapidity of the etch rate to give a lower degree of roughness of the waveguide surface .
  • an optical waveguide 5 which displays a minimal number of surface defects 6.
  • the RIE process in the fabrication of the optical waveguide has been controlled to ensure the roughness of the waveguide surface is been reduced to a minimum to the detriment of the etch rate.
  • a method of fabricating an optical waveguide includes the following stages : -
  • stage three Forming at least one cladding layer to embed the waveguide core and optionally doping said cladding layer.
  • any suitably appropriate process can be used to perform each of stages one, two and four.
  • the masking step of stage three can be performed conventionally but the reactive ion etching process step of stage three is performed according to the invention.
  • An intermediate layer for example a buffer layer and an upper intermediate layer deposited thereon, is deposited on a substrate, for example a silicon substrate using, for example, a flame hydrolysis deposition (FHD) process.
  • FHD flame hydrolysis deposition
  • the buffer layer comprises silica, but can be any thermally oxidised layer of the substrate.
  • the upper intermediate layer comprises silica, and is doped with selected dopant ions which induce certain desired properties in the upper intermediate layer.
  • the upper intermediate layer is then consolidated, for example, in an electrical furnace or by an FHD burner, before any subsequent layers are deposited.
  • a core layer is subsequently deposited using an FHD process.
  • the core layer comprises silica, and is doped with dopant ions which induce certain desired properties in the core layer.
  • the core layer is then consolidated, for example in an electrical furnace or by an FHD burner, at least partially before any subsequent layers are deposited.
  • the normal FHD apparatus is modified so that the core layer can be aerosol doped.
  • An additional feed is provided on the FHD apparatus supplies aerosol droplets of the dopant ions.
  • High concentrations of core layer dopant ions for example concentrations exceeding 0.5wt%, but more typically in the range 0.2wt% to 2 wt%, of rare earth ions or heavy metal ions can be introduced during the deposition of the core layers by using such an aerosol doping technique .
  • the waveguide core is then formed from the core layer by masking the core layer and etching away the unwanted portion of the core layer. Subsequently, another cladding layer is deposited and consolidated similarly to the first cladding layer.
  • stages of fabricating an optical waveguide are possible which differ from those described in the preferred embodiment.
  • more than one intermediate, core and/or cladding layers can be deposited at each stage.
  • the intermediate, core and cladding layers may be only partially consolidated after they are deposited and full consolidation can be achieved by subsequent thermal treatment, for example, when a subsequently deposited layer is being consolidated.
  • the choice of fabrication process depends to an extent on the deposition and consolidation temperatures of each layer.
  • the waveguide core is formed from the core layers by performing a suitable masking process on the uppermost core layer so that a mask portion covers the waveguide area to be retained during the RIE process.
  • the RIE process parameters are selected to enable the desired etch rate to be achieved with a minimal amount of erosion of the mask portion and with a minimal amount of undercut under the mask portion.
  • the RIE etchant gas is thus selected to exhibit a high degree of selectivity between the mask layer and the waveguide layers to be etched.
  • the mask used is preferably metal, for example, nichrome (NiCr) or alternatively is Ni , Ti-Ni, or Ti:NiCr.
  • Other suitable masks include amorphous silicon and polysilicon.
  • the mask is formed by depositing a mask layer, i.e. a layer of masking material, on the uppermost core layer.
  • the metal masks may be deposited, for example, by thermal evaporation, electron beam evaporation or sputtering.
  • Amorphous silicon masks may be deposited, for example, by plasma enhanced chemical vapour deposition (PECVD)
  • silicon masks may be deposited, for example, by low pressure chemical vapour deposition (LPCVD) .
  • PECVD plasma enhanced chemical vapour deposition
  • LPCVD low pressure chemical vapour deposition
  • a layer of resist for example, photo-resist, is then formed on top of the mask layer and is patterned using standard photo- lithographic techniques which remove the resist. The exposed unwanted mask areas are then etched away and the wanted mask portion defining the waveguide is finally left covered by the mask and resist layers.
  • the metal mask is deposited by using an evaporator.
  • a mask thickness of 100 nm was used which lies in a suitable range of lOnm to 800nm.
  • a suitable photoresist is SHIPLEYTM S1818 which was postbaked at 120°C.
  • a 1.8 ⁇ m thick photoresist can be alone as a dry etch mask.
  • the method of controlling the RIE process selectively controls certain selected parameters, for example, the pressures of the etchant gases used, the flow rate of the etchant gas, and the r.f. power density used. It is desirable for the etchant gas to offer a high etch rate yet be highly selective between the mask and core material. If the selectivity is low, the side wall quality is reduced.
  • the etchant gas is a ideally a fluorine based etch gas and/or at least one other gas, for example, a dilutant or a process gas, e.g. 0 2 .
  • Fluorine based gases can be used, for example, to etch both metal and silicon based masks or alternatively, chlorine bases gases can be used to etch silicon based masks.
  • the process gas is selected, for example, so that the amount of polymer formation during the RIE process stage of fabricating a waveguide is increased, which increases the anisotropy of the etching process and so improves the vertical orientation of the side-walls of the waveguide channel which are etched.
  • RIE parameters affect the etch rate of the RIE process and the amount of material which is redeposited during the RIE processing stage.
  • the amount of re-deposition which occurs during the RIE processing stage directly and/or indirectly determines the level of surface roughness of the etched surfaces formed.
  • the etchant gas includes a process gas, for example 0 2 , and a fluorine based chemical, for example, CHF 3 .
  • a process gas for example 0 2
  • a fluorine based chemical for example, CHF 3
  • Selecting suitable values for the RIE parameters with this etchant gas enables the RIE process to form waveguide cores which possess a desirably low level of surface roughness and/or which are formed at a desirable etch rate.
  • the parameters varied are the fluorine based etchant gas flow rate, the process gas flow rate, the etchant gas pressure and the r.f. power density. Selected values of these RIE parameters and the RIE etchant speeds and levels of waveguide core surface roughness obtained by the RIE process using these parameters are detailed in Table 1A shown overleaf .
  • a level setting for the RIE process combines selected values of the RIE parameters. Three level settings are given in Table IB shown below: -
  • Table IB The values set for each of the RIE parameters for each level setting of the RIE process.
  • the etch rate average for an CHF 3 flow of 5 seem (runs 1,2, and 3) is given by the average of El (1.85 ⁇ m/hr), E2 (5.42 ⁇ m/hr) and E3 (13. ll ⁇ m/hr) . This is denoted as E C1 , and is 6.79 ⁇ m/hr.
  • the etch rate average for CHF 3 flow setting 2, 25 seem is given by the
  • Table 1 The CHF 3 gas flow rate, the 0 2 gas flow rate, etchant gas pressure and r.f. power density and the resulting etch rate of the RIE process and the roughness of the waveguide surface etched by the RIE process.
  • the average etch rates obtained by the RIE process for each level setting of the RIE process are shown overleaf in Table 2A, and the average surface roughness of the waveguides formed by each level setting are shown in Table 2B .
  • Each of the first three rows in Table 2A corresponds to a different level setting, i.e., to a different set of parameters selected to control the RIE process .
  • the final row gives the difference between the maximum and minimum etch rates in each column.
  • the etch rate difference for the CHF 3 flow parameter values selected, ⁇ E C is E C1 -E C2 , or 2.63 ⁇ m/hr.
  • the etch rate difference for the pressure parameter values selected is given by E Pr3 - E Prl , or 2.91 ⁇ m/hr.
  • the maximum etch rate for each of the RIE parameter values selected occurs at the smallest CHF 3 flow value, greatest 0 2 flow value, highest pressure value and highest power value, i.e., by the values E cl , E 03 , E Pr3 , E Po3 .
  • the RIE process is optimized for maximum etch rate by setting the RIE parameters to these values.
  • Table 2B shows the average surface roughness of the optical waveguide formed by the RIE process.
  • Each of the first three rows corresponds to a different level setting: i.e., to a different set of parameters selected to control the RIE process.
  • the final row gives the difference between the maximum and minimum surface roughness obtained in each column.
  • Table 2B shows that RIE process produces minimal roughness for the greatest CHF 3 flow, medium 0 2 flow, smallest pressure and smallest power (E C3 , E 02 , E Prl , E Pol ) .
  • RIE parameters a CHF 3 flow rate of 25 seem, an 0 2 flow rate of 5 seem, a pressure of 20 mTorr, and a r.f. power density of 0.6W/cm 2 give an etch rate of 5.2 ⁇ m/hr. These settings give a desirably low level of re-deposition and a desirably low level of surface roughness.
  • Fig. IC displays a scanned electron microscope image of an erbium doped phosphosilicate waveguide 5 fabricated using these RIE parameter values.
  • RIE parameter values are suitable: a CHF 3 flow rate of 5 to 75 seem; an 0 2 flow rate of 0 to 15 seem, a pressure of 5 to 30 mTorr, and a r.f. power density of 0.06 to 0.64 Wcm "2 .
  • the selection of parameter values in these ranges gives RIE etch rates of between 1.8 and 1.3 ⁇ m/hr and surface roughness levels of between 5 and 100 nm.
  • the RIE process is controlled to give an optimum etch rate which depends strongly on the pressure and power by selecting the following parameter values: a CHF 3 carrier gas flow rate of 45 seem, an 0 2 flow rate of 5 seem, a pressure of 20 mTorr, and a r.f. power density of 0.6 Wcm "2 .
  • Fig. IB illustrates a scanned electron microscope image of an erbium doped phosphosilicate waveguide 5 formed according to this embodiment.
  • etch rate the following ranges of parameter values are suitable: a CHF 3 flow rate of 5 to 45 seem; an 0 2 flow rate of 5 to 15 seem, a pressure of 80 to 120 mTorr, and a r.f. power density of 0.54 to 0.95 W/cm 2 .
  • the selection of parameter values in these ranges gives RIE etch rates of between 8 ⁇ m and 13 ⁇ m/hr and surface roughness levels of between 100 and 200 nm.
  • etchant gas used in the RIE process in the preferred embodiment of the invention
  • other fluoride based etchant gases can be used for etching silica type material such as CF 4 , C 2 F 6/ SF 6 , etc.
  • Process gases such as Ar, CH4 , etc can also be incorporated into the etchant .
  • the RIE processing stage can be generally tailored for each etchant gas mix to produce optimal etch rates by using high flow rate, low pressure and high power parameters.
  • the RIE processing stage can be tailored to reduce the amount of ion deposition and thus the level of surface roughness of the optical waveguide formed by the method. Desirably low levels of surface roughness of silicon based waveguides of between 5 nm to 100 nm can be achieved.
  • Waveguides which are fabricated using the invention display further desired properties, for example substantially vertical (90°) sidewalls.
  • the RIE rate can exceed 115 nm/ in.
  • An etch rate in excess of 115 nm/min was achieved using an etchant gas flow rate of ⁇ 45sccm, a low etchant gas pressure of -20 mTorr and by using a high r.f. power density of 0.6 Wcm "2 .
  • the resulting waveguide has a side wall anisotropy of >89° and a relatively low surface roughness of 19nm.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Optical Integrated Circuits (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

L'invention concerne un procédé de gravure ionique réactive qui règle le débit d'au moins un gaz d'attaque utilisé dans ledit procédé de gravure ionique réactive, la pression dudit gaz d'attaque, et la puissance H.F. utilisée dans ledit procédé de gravure ionique réactive. Les paramètres de débit, de pression et de puissance H.F. sont sélectionnés en vue d'obtenir une vitesse de gravure voulue et/ou un taux de redéposition de matière voulu au cours du procédé de gravure ionique réactive.
EP00914290A 1999-03-31 2000-03-30 Procede de gravure ionique reactive Withdrawn EP1166341A1 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB9907302 1999-03-31
GB9907302A GB2348399A (en) 1999-03-31 1999-03-31 Reactive ion etching with control of etch gas flow rate, pressure and rf power
PCT/GB2000/001231 WO2000059020A1 (fr) 1999-03-31 2000-03-30 Procede de gravure ionique reactive

Publications (1)

Publication Number Publication Date
EP1166341A1 true EP1166341A1 (fr) 2002-01-02

Family

ID=10850638

Family Applications (1)

Application Number Title Priority Date Filing Date
EP00914290A Withdrawn EP1166341A1 (fr) 1999-03-31 2000-03-30 Procede de gravure ionique reactive

Country Status (4)

Country Link
EP (1) EP1166341A1 (fr)
AU (1) AU3568500A (fr)
GB (2) GB2348399A (fr)
WO (1) WO2000059020A1 (fr)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020158047A1 (en) * 2001-04-27 2002-10-31 Yiqiong Wang Formation of an optical component having smooth sidewalls
WO2003067293A1 (fr) * 2002-02-06 2003-08-14 Matsushita Electric Industrial Co., Ltd. Procede de fabrication de guide d'onde optique
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992134A (en) * 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
JP2830978B2 (ja) * 1990-09-21 1998-12-02 忠弘 大見 リアクティブイオンエッチング装置及びプラズマプロセス装置
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5221425A (en) * 1991-08-21 1993-06-22 International Business Machines Corporation Method for reducing foreign matter on a wafer etched in a reactive ion etching process
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
JP2884970B2 (ja) * 1992-11-18 1999-04-19 株式会社デンソー 半導体のドライエッチング方法
US5935877A (en) * 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
US5637190A (en) * 1995-09-15 1997-06-10 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
EP0822582B1 (fr) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Procédé de gravure de substrats
AUPO281896A0 (en) * 1996-10-04 1996-10-31 Unisearch Limited Reactive ion etching of silica structures for integrated optics applications

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0059020A1 *

Also Published As

Publication number Publication date
GB2363361A (en) 2001-12-19
GB2363361B (en) 2003-04-02
WO2000059020A1 (fr) 2000-10-05
AU3568500A (en) 2000-10-16
GB0118719D0 (en) 2001-09-26
GB2348399A (en) 2000-10-04
GB9907302D0 (en) 1999-05-26

Similar Documents

Publication Publication Date Title
EP1166341A1 (fr) Procede de gravure ionique reactive
EP1576399B1 (fr) Procede de fabrication de guides d'ondes optiques
US6356694B1 (en) Process for producing planar waveguide structures as well as waveguide structure
US7469558B2 (en) As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US5354417A (en) Etching MoSi2 using SF6, HBr and O2
EP1123522B1 (fr) Fabrication d'une structure de guide d'ondes au silicium
US20020104821A1 (en) Reactive ion etching of silica structures
US7573085B2 (en) Deep trench formation in semiconductor device fabrication
US6037268A (en) Method for etching tantalum oxide
US6376272B1 (en) InA1As etch stop layer for precise semiconductor waveguide fabrication
Hines et al. Patterning of wave guides in LiNbO 3 using ion beam etching and reactive ion beam etching
KR20010013402A (fr) Procede de formation d'une couche de silicium sur une surface
US20020158047A1 (en) Formation of an optical component having smooth sidewalls
JP2606679B2 (ja) 光導波路の製造方法
KR100518520B1 (ko) 반도체장치의 실리콘막 식각방법
JPH07333452A (ja) 光導波路の製造方法
KR20030038651A (ko) 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법
AU724044B2 (en) Reactive ion etching of silica structures
WO2002097874A1 (fr) Procede de gravure seche verticale et profonde de dielectriques
Ting et al. RIE lag in diffractive optical element etching
JPH0933741A (ja) 石英系光導波路及びその製造方法
JPWO2003067293A1 (ja) 光導波路の製造方法
Libing et al. Optimization of Plasma Etching Parameters and Mask for Silica Optical Waveguides
Zhou et al. Fabrication of silica-on-silicon planar lightwave circuits by PECVD and ECR
Ou Engineering sidewall angles of silica-on-silicon waveguides

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20011003

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20041001