DK187290D0 - Renseproces under anvendelse af tilstandsaendring for fluida naerved det kritiske punkt - Google Patents

Renseproces under anvendelse af tilstandsaendring for fluida naerved det kritiske punkt

Info

Publication number
DK187290D0
DK187290D0 DK187290A DK187290A DK187290D0 DK 187290 D0 DK187290 D0 DK 187290D0 DK 187290 A DK187290 A DK 187290A DK 187290 A DK187290 A DK 187290A DK 187290 D0 DK187290 D0 DK 187290D0
Authority
DK
Denmark
Prior art keywords
fluida
condition
cleaning process
process under
critical item
Prior art date
Application number
DK187290A
Other languages
English (en)
Other versions
DK187290A (da
Inventor
David P Jackson
Orval F Buck
Original Assignee
Hughes Aircraft Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hughes Aircraft Co filed Critical Hughes Aircraft Co
Publication of DK187290A publication Critical patent/DK187290A/da
Publication of DK187290D0 publication Critical patent/DK187290D0/da

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
DK187290A 1988-12-07 1990-08-06 Renseproces under anvendelse af tilstandsaendring for fluida naerved det kritiske punkt DK187290D0 (da)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/282,072 US5013366A (en) 1988-12-07 1988-12-07 Cleaning process using phase shifting of dense phase gases
PCT/US1989/004674 WO1990006189A1 (en) 1988-12-07 1989-10-23 Cleaning process using phase shifting of dense phase gases

Publications (2)

Publication Number Publication Date
DK187290A DK187290A (da) 1990-08-06
DK187290D0 true DK187290D0 (da) 1990-08-06

Family

ID=23079990

Family Applications (1)

Application Number Title Priority Date Filing Date
DK187290A DK187290D0 (da) 1988-12-07 1990-08-06 Renseproces under anvendelse af tilstandsaendring for fluida naerved det kritiske punkt

Country Status (7)

Country Link
US (1) US5013366A (da)
EP (1) EP0397826B1 (da)
JP (1) JPH03123604A (da)
CA (1) CA2002066A1 (da)
DK (1) DK187290D0 (da)
NO (1) NO173772C (da)
WO (1) WO1990006189A1 (da)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5304253A (en) * 1990-09-12 1994-04-19 Baxter International Inc. Method for cleaning with a volatile solvent
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
AT395951B (de) * 1991-02-19 1993-04-26 Union Ind Compr Gase Gmbh Reinigung von werkstuecken mit organischen rueckstaenden
US5279615A (en) * 1991-06-14 1994-01-18 The Clorox Company Method and composition using densified carbon dioxide and cleaning adjunct to clean fabrics
US5431843A (en) * 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
US5597648A (en) * 1991-10-18 1997-01-28 Dow Corning Corporation Low-volatility pressure sensitive adhesives
US5571335A (en) * 1991-12-12 1996-11-05 Cold Jet, Inc. Method for removal of surface coatings
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5204517A (en) * 1991-12-24 1993-04-20 Maxwell Laboratories, Inc. Method and system for control of a material removal process using spectral emission discrimination
US5281798A (en) * 1991-12-24 1994-01-25 Maxwell Laboratories, Inc. Method and system for selective removal of material coating from a substrate using a flashlamp
US5782253A (en) * 1991-12-24 1998-07-21 Mcdonnell Douglas Corporation System for removing a coating from a substrate
US5194723A (en) * 1991-12-24 1993-03-16 Maxwell Laboratories, Inc. Photoacoustic control of a pulsed light material removal process
US5613509A (en) * 1991-12-24 1997-03-25 Maxwell Laboratories, Inc. Method and apparatus for removing contaminants and coatings from a substrate using pulsed radiant energy and liquid carbon dioxide
US5328517A (en) * 1991-12-24 1994-07-12 Mcdonnell Douglas Corporation Method and system for removing a coating from a substrate using radiant energy and a particle stream
FR2686351A1 (fr) * 1992-01-20 1993-07-23 Metalimphy Procede de nettoyage et degraissage de produits metalliques conditionnes sous forme de bobine ou de feuilles formant tas et installation pour sa mise en óoeuvre.
EP0564396A1 (de) * 1992-04-01 1993-10-06 SULZER Medizinaltechnik AG Verfahren und Vorrichtung zur Reinigung und Keimverminderung von textilen medizinischen Implantaten
US5512123A (en) * 1992-05-19 1996-04-30 Maxwell Laboratories Method for using pulsed optical energy to increase the bondability of a surface
US6165282A (en) * 1992-06-30 2000-12-26 Southwest Research Institute Method for contaminant removal using natural convection flow and changes in solubility concentration by temperature
US6799587B2 (en) * 1992-06-30 2004-10-05 Southwest Research Institute Apparatus for contaminant removal using natural convection flow and changes in solubility concentrations by temperature
US5267455A (en) * 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5370742A (en) * 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5344493A (en) * 1992-07-20 1994-09-06 Jackson David P Cleaning process using microwave energy and centrifugation in combination with dense fluids
US5339844A (en) * 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5456759A (en) * 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5261965A (en) * 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5355901A (en) * 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
DE4240387A1 (de) * 1992-12-01 1994-06-09 Linde Ag Abtrennen organischer Verunreinigungen, insbesondere Öle, von Gegenständen wie Abfallprodukten
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5470377A (en) * 1993-03-08 1995-11-28 Whitlock; David R. Separation of solutes in gaseous solvents
JP3365511B2 (ja) * 1993-04-05 2003-01-14 セイコーエプソン株式会社 ろう材による接合方法及び装置
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5440824A (en) * 1993-09-21 1995-08-15 Mg Industries Method of cleaning gas cylinders with supercritical fluids
DE4333221B4 (de) * 1993-09-30 2006-05-04 Deutsches Textilforschungszentrum Nord-West E.V. Verfahren zum Entfärben von Substraten aus Kunststoff, insbesondere Synthesefasern
US5370740A (en) * 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5415897A (en) * 1994-03-23 1995-05-16 The Boc Group, Inc. Method of depositing solid substance on a substrate
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5486236A (en) * 1994-05-06 1996-01-23 Hughes Aircraft Company Accelerated extraction of rolled materials
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
DE4423188C2 (de) * 1994-07-01 1999-03-11 Linde Ag Reinigung von Druckgasbehältern
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5447577A (en) * 1994-10-24 1995-09-05 Ford Motor Company Carbon dioxide-based fluxing media for non-VOC, no-clean soldering
DE69521267T2 (de) * 1994-11-08 2002-03-07 Raytheon Co Trockenreinigung von Kleidungstücken unter Verwendung von Gasstrahlverwirbelung
AU4106696A (en) * 1994-11-09 1996-06-06 R.R. Street & Co. Inc. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5711820A (en) * 1994-12-20 1998-01-27 Allied Signal, Inc. Method to separate and recover oil and plastic from plastic contaminated with oil
DE69610652T2 (de) * 1995-01-26 2001-05-10 Texas Instruments Inc Verfahren zur Entfernung von Oberflächenkontamination
US5725678A (en) * 1995-03-06 1998-03-10 The Penn State Research Foundation Aqueous-based cleaner for the removal of residue
DE19509573C2 (de) * 1995-03-16 1998-07-16 Linde Ag Reinigung mit flüssigem Kohlendioxid
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5690703A (en) * 1996-03-15 1997-11-25 Valence Technology, Inc Apparatus and method of preparing electrochemical cells
US5756657A (en) * 1996-06-26 1998-05-26 University Of Massachusetts Lowell Method of cleaning plastics using super and subcritical media
US6004399A (en) * 1996-07-01 1999-12-21 Cypress Semiconductor Corporation Ultra-low particle semiconductor cleaner for removal of particle contamination and residues from surface oxide formation on semiconductor wafers
US5958151A (en) * 1996-07-22 1999-09-28 Ford Global Technologies, Inc. Fluxing media for non-VOC, no-clean soldering
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US6092538A (en) * 1996-09-25 2000-07-25 Shuzurifuresher Kaihatsukyodokumiai Method for using high density compressed liquefied gases in cleaning applications
US6039059A (en) 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
US5908510A (en) * 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6312528B1 (en) 1997-03-06 2001-11-06 Cri Recycling Service, Inc. Removal of contaminants from materials
US5822818A (en) * 1997-04-15 1998-10-20 Hughes Electronics Solvent resupply method for use with a carbon dioxide cleaning system
US5895763A (en) * 1997-04-16 1999-04-20 H.E.R.C. Products Incorporated Controlled carbonate removal from water conduit systems
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
TW539918B (en) 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6125667A (en) * 1997-05-27 2000-10-03 Tecminomet S.A. Psynchrometric apparatus and method for continuous air replacement/degassing of continuous multilayered fibers with a condensable gas
US5904156A (en) * 1997-09-24 1999-05-18 International Business Machines Corporation Dry film resist removal in the presence of electroplated C4's
US6070440A (en) * 1997-12-24 2000-06-06 Raytheon Commercial Laundry Llc High pressure cleaning vessel with a space saving door opening/closing apparatus
US5850747A (en) * 1997-12-24 1998-12-22 Raytheon Commercial Laundry Llc Liquified gas dry-cleaning system with pressure vessel temperature compensating compressor
US6012307A (en) * 1997-12-24 2000-01-11 Ratheon Commercial Laundry Llc Dry-cleaning machine with controlled agitation
US5858107A (en) * 1998-01-07 1999-01-12 Raytheon Company Liquid carbon dioxide cleaning using jet edge sonic whistles at low temperature
US6121179A (en) * 1998-01-08 2000-09-19 Chematur Engineering Ab Supercritical treatment of adsorbent materials
US6231676B1 (en) * 1998-01-27 2001-05-15 Seagate Technology Llc Cleaning process for disc drive components
US6120613A (en) 1998-04-30 2000-09-19 Micell Technologies, Inc. Carbon dioxide cleaning and separation systems
US6506259B1 (en) 1998-04-30 2003-01-14 Micell Technologies, Inc. Carbon dioxide cleaning and separation systems
US6113708A (en) * 1998-05-26 2000-09-05 Candescent Technologies Corporation Cleaning of flat-panel display
FR2780902B1 (fr) 1998-07-10 2000-09-22 Electrolyse L Procede de transformation de structures chimiques dans un fluide sous l'action des ultrasons et dispositif pour sa mise en oeuvre
US5996155A (en) * 1998-07-24 1999-12-07 Raytheon Company Process for cleaning, disinfecting, and sterilizing materials using the combination of dense phase gas and ultraviolet radiation
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6212916B1 (en) 1999-03-10 2001-04-10 Sail Star Limited Dry cleaning process and system using jet agitation
US6260390B1 (en) 1999-03-10 2001-07-17 Sail Star Limited Dry cleaning process using rotating basket agitation
US6273921B1 (en) * 1999-03-22 2001-08-14 The Boeing Company Battery fabrication method using supercritical carbon dioxide
US6558622B1 (en) * 1999-05-04 2003-05-06 Steris Corporation Sub-critical fluid cleaning and antimicrobial decontamination system and process
US6790783B1 (en) 1999-05-27 2004-09-14 Micron Technology, Inc. Semiconductor fabrication apparatus
US6276370B1 (en) 1999-06-30 2001-08-21 International Business Machines Corporation Sonic cleaning with an interference signal
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6314601B1 (en) * 1999-09-24 2001-11-13 Mcclain James B. System for the control of a carbon dioxide cleaning apparatus
US6397421B1 (en) * 1999-09-24 2002-06-04 Micell Technologies Methods and apparatus for conserving vapor and collecting liquid carbon dioxide for carbon dioxide dry cleaning
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
JP5073902B2 (ja) 1999-11-02 2012-11-14 東京エレクトロン株式会社 多数のワークピースを超臨界処理する方法及び装置
US6776801B2 (en) 1999-12-16 2004-08-17 Sail Star Inc. Dry cleaning method and apparatus
US6407143B1 (en) 1999-12-22 2002-06-18 Sandia Corporation Method and solvent composition for regenerating an ion exchange resin
US7189350B2 (en) 1999-12-27 2007-03-13 Kabushiki Kaisha Sr Kaihatsu Method of sterilizing medical instruments
SE515491C2 (sv) * 1999-12-27 2001-08-13 Electrolux Ab Förfarande och anordning för rengörning av porösa material medelst koldioxid
CA2363271C (en) * 1999-12-27 2004-07-06 Kabushiki Kaisha Sr Kaihatsu Method and device for disinfection/sterilization of medical instruments
US6475403B2 (en) * 2000-01-31 2002-11-05 Matsushita Electric Industrial Co., Ltd. Etching method and apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US6890853B2 (en) * 2000-04-25 2005-05-10 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
AU2001263231A1 (en) * 2000-05-18 2001-11-26 S. C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6565920B1 (en) 2000-06-08 2003-05-20 Honeywell International Inc. Edge bead removal for spin-on materials containing low volatility solvents fusing carbon dioxide cleaning
WO2002009147A2 (en) * 2000-07-26 2002-01-31 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
WO2002011191A2 (en) * 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same
US20040011378A1 (en) * 2001-08-23 2004-01-22 Jackson David P Surface cleaning and modification processes, methods and apparatus using physicochemically modified dense fluid sprays
US6726549B2 (en) * 2000-09-08 2004-04-27 Cold Jet, Inc. Particle blast apparatus
US6652654B1 (en) * 2000-09-27 2003-11-25 Bechtel Bwxt Idaho, Llc System configured for applying multiple modifying agents to a substrate
US6623686B1 (en) * 2000-09-28 2003-09-23 Bechtel Bwxt Idaho, Llc System configured for applying a modifying agent to a non-equidimensional substrate
US6427544B1 (en) * 2001-03-14 2002-08-06 United Technologies Corporation Environmentally friendly ultra-high sensitivity liquid penetrant inspection process and system
WO2002084709A2 (en) * 2001-04-10 2002-10-24 Supercritical Systems Inc. High pressure processing chamber for semiconductor substrate including flow enhancing features
US20030116176A1 (en) * 2001-04-18 2003-06-26 Rothman Laura B. Supercritical fluid processes with megasonics
JP2002324778A (ja) * 2001-04-25 2002-11-08 Sony Corp 表面処理方法
US6782900B2 (en) * 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
JP3883929B2 (ja) 2001-09-25 2007-02-21 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
US6616769B2 (en) * 2001-09-28 2003-09-09 Air Products And Chemicals, Inc. Systems and methods for conditioning ultra high purity gas bulk containers
US20030062071A1 (en) * 2001-09-28 2003-04-03 Sorbo Nelson W. Dense-phase fluid cleaning system utilizing ultrasonic transducers
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
KR100463232B1 (ko) * 2001-10-12 2004-12-23 한국과학기술연구원 혼합유체를 이용한 성형물 중의 왁스류의 탈지방법
TW497494U (en) * 2001-12-28 2002-08-01 Metal Ind Redearch & Amp Dev C Fluid driven stirring device for compressing gas cleaning system
JP2006508521A (ja) * 2002-02-15 2006-03-09 東京エレクトロン株式会社 溶剤浴と超臨界co2を用いたレジストの乾燥
US6924086B1 (en) 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
JP4031440B2 (ja) * 2002-03-22 2008-01-09 東京エレクトロン株式会社 超臨界処理を用いる汚染物の除去
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
CN100387365C (zh) * 2002-05-20 2008-05-14 松下电器产业株式会社 清洗方法
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
EP1388376A3 (de) * 2002-08-09 2007-01-10 Air Liquide Deutschland GmbH Reinigung mit CO2 und N2O
US7174744B2 (en) * 2002-08-20 2007-02-13 American Air Liquide, Inc. Method of improving the biocidal efficacy of dry ice
US6715498B1 (en) 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US20080004194A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US20040055621A1 (en) * 2002-09-24 2004-03-25 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US6880560B2 (en) * 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
DE10255231B4 (de) * 2002-11-26 2006-02-02 Uhde High Pressure Technologies Gmbh Hochdruckvorrichtung zum Verschließen eines Druckbehälters im Reinraum
US6997197B2 (en) * 2002-12-13 2006-02-14 International Business Machines Corporation Apparatus and method for rapid thermal control of a workpiece in liquid or dense phase fluid
US6875286B2 (en) * 2002-12-16 2005-04-05 International Business Machines Corporation Solid CO2 cleaning
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
EP1442802A1 (en) * 2003-01-28 2004-08-04 Linde Aktiengesellschaft Cleaning with liquid carbon dioxide
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US8017568B2 (en) * 2003-02-28 2011-09-13 Intel Corporation Cleaning residues from semiconductor structures
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US6938439B2 (en) * 2003-05-22 2005-09-06 Cool Clean Technologies, Inc. System for use of land fills and recyclable materials
US7238085B2 (en) * 2003-06-06 2007-07-03 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US6857437B2 (en) * 2003-06-18 2005-02-22 Ekc Technology, Inc. Automated dense phase fluid cleaning system
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US7163380B2 (en) * 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050039775A1 (en) * 2003-08-19 2005-02-24 Whitlock Walter H. Process and system for cleaning surfaces of semiconductor wafers
AU2004266154B2 (en) * 2003-08-22 2010-07-08 Synthes Gmbh Dura substitute and a process for producing the same
US7645344B2 (en) * 2003-10-08 2010-01-12 Micron Technology, Inc. Method of cleaning semiconductor surfaces
CN100425525C (zh) * 2003-11-18 2008-10-15 鸿富锦精密工业(深圳)有限公司 纳米超流体
US7439654B2 (en) * 2004-02-24 2008-10-21 Air Products And Chemicals, Inc. Transmission of ultrasonic energy into pressurized fluids
CN100584714C (zh) * 2004-05-13 2010-01-27 东京毅力科创株式会社 基板输送机构及输送装置、颗粒除去法及程序和存储介质
US7748138B2 (en) * 2004-05-13 2010-07-06 Tokyo Electron Limited Particle removal method for a substrate transfer mechanism and apparatus
US20050276723A1 (en) * 2004-06-15 2005-12-15 Meenakshi Sundaram Aseptic sterilant using ozone in liquid carbon dioxide
US20050288485A1 (en) * 2004-06-24 2005-12-29 Mahl Jerry M Method and apparatus for pretreatment of polymeric materials utilized in carbon dioxide purification, delivery and storage systems
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7195676B2 (en) * 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
EP1836242A2 (en) 2004-10-25 2007-09-26 Nanon A/S A method of producing a silicone rubber item and the product obtainable by the method
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
ITSA20050007A1 (it) * 2005-03-10 2006-09-11 Uni Di Salerno Processo per la pulizia di cilindri da stampa e/o da accoppiamento, utilizzati nell'industria grafica e nella produzione di imballaggi flessibili.
US20090071509A1 (en) * 2005-03-10 2009-03-19 Ernesto Reverchon Process for Cleaning Engraved Cylinders Used in Printing and Packaging Industry From Adhesive and/or Ink Residues
US7550075B2 (en) 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US7399708B2 (en) * 2005-03-30 2008-07-15 Tokyo Electron Limited Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20070228600A1 (en) * 2005-04-01 2007-10-04 Bohnert George W Method of making containers from recycled plastic resin
US7253253B2 (en) 2005-04-01 2007-08-07 Honeywell Federal Manufacturing & Technology, Llc Method of removing contaminants from plastic resins
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20060283529A1 (en) * 2005-06-17 2006-12-21 Amit Ghosh Apparatus and Method of Producing Net-Shaped Components from Alloy Sheets
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US7361231B2 (en) * 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US20080011322A1 (en) * 2006-07-11 2008-01-17 Frank Weber Cleaning systems and methods
JP4887134B2 (ja) * 2006-12-26 2012-02-29 株式会社リコー 電子写真感光体の製造方法、該感光体及びこれを使用した画像形成装置
JP5060791B2 (ja) * 2007-01-26 2012-10-31 独立行政法人森林総合研究所 木材の乾燥方法、木材への薬剤浸透方法及び乾燥装置
US20100236580A1 (en) * 2007-05-15 2010-09-23 Delaurentiis Gary M METHOD AND SYSTEM FOR REMOVING PCBs FROM SYNTHETIC RESIN MATERIALS
US7695080B2 (en) * 2007-06-05 2010-04-13 King Slide Works Co., Ltd. Securing device for a drawer slide
US20090155437A1 (en) * 2007-12-12 2009-06-18 Bohnert George W Continuous system for processing particles
US9975368B2 (en) * 2008-02-13 2018-05-22 Iconex Llc Fanfold media dust inhibitor
US8551257B2 (en) 2010-08-06 2013-10-08 Empire Technology Development Llc Supercritical noble gases and cleaning methods
US8152862B2 (en) 2010-08-06 2012-04-10 Empire Technology Development Llc Supercritical noble gases and coloring methods
JP5985156B2 (ja) * 2011-04-04 2016-09-06 東京エレクトロン株式会社 半導体基板の超臨界乾燥方法及び装置
US9091017B2 (en) * 2012-01-17 2015-07-28 Co2Nexus, Inc. Barrier densified fluid cleaning system
US10159440B2 (en) 2014-03-10 2018-12-25 L.I.F.E. Corporation S.A. Physiological monitoring garments
US8945328B2 (en) 2012-09-11 2015-02-03 L.I.F.E. Corporation S.A. Methods of making garments having stretchable and conductive ink
US10462898B2 (en) 2012-09-11 2019-10-29 L.I.F.E. Corporation S.A. Physiological monitoring garments
US9817440B2 (en) 2012-09-11 2017-11-14 L.I.F.E. Corporation S.A. Garments having stretchable and conductive ink
US10201310B2 (en) 2012-09-11 2019-02-12 L.I.F.E. Corporation S.A. Calibration packaging apparatuses for physiological monitoring garments
US11246213B2 (en) 2012-09-11 2022-02-08 L.I.F.E. Corporation S.A. Physiological monitoring garments
CN103406304B (zh) * 2012-09-29 2015-05-20 山东常林机械集团股份有限公司 一种超声波辅助超临界二氧化碳清洗精密零部件的方法
JP6453592B2 (ja) 2013-09-25 2019-01-16 アークレイ株式会社 血液検体の処理方法
US20160263770A1 (en) * 2013-11-06 2016-09-15 Superwood A/S A method for liquid treatment of a wood species
WO2015103620A1 (en) 2014-01-06 2015-07-09 Andrea Aliverti Systems and methods to automatically determine garment fit
EP3250745A1 (en) * 2014-11-17 2017-12-06 L.I.F.E. Corporation S.A. Laundry system for smart garments
JP6937299B2 (ja) 2015-07-20 2021-09-22 エル.アイ.エフ.イー. コーポレーション エス.エー.L.I.F.E. Corporation S.A. センサ及び電子機器を有する衣類用の可撓性織物リボンコネクタ
CA3029445A1 (en) 2016-07-01 2018-01-04 L.I.F.E. Corporation S.A. Biometric identification by garments having a plurality of sensors
US10760393B2 (en) * 2017-05-12 2020-09-01 Conocophillips Company Cleaning SAGD equipment with supercritical CO2
EP3670362B1 (en) * 2018-12-21 2022-06-15 Airbus Defence and Space GmbH Closed environmental compartment to accommodate humans
US11786893B2 (en) 2019-03-01 2023-10-17 United Laboratories International, Llc Solvent system for cleaning fixed bed reactor catalyst in situ
CN111920973B (zh) * 2020-08-12 2021-12-17 北京航空航天大学 一种用于行星保护微生物消杀的一体化方法、流程和装置
US11239071B1 (en) * 2020-12-03 2022-02-01 Nanya Technology Corporation Method of processing semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124528A (en) * 1974-10-04 1978-11-07 Arthur D. Little, Inc. Process for regenerating adsorbents with supercritical fluids
US4147624A (en) * 1976-04-15 1979-04-03 Arthur D. Little, Inc. Wastewater treatment with desorbing of an adsorbate from an adsorbent with a solvent in the near critical state
US4379724A (en) * 1981-08-14 1983-04-12 Taiyo Denko Kabushiki Kaisha Method for reclaiming waste thermoplastic resin film
WO1984002291A1 (en) * 1982-12-06 1984-06-21 Hughes Aircraft Co Method of cleaning articles using super-critical gases
JPS60192333A (ja) * 1984-03-13 1985-09-30 Hitachi Ltd 有機塗布硬化膜の除去方法
US4576837A (en) * 1985-03-19 1986-03-18 Tarancon Corporation Method of treating surfaces
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
US4854337A (en) * 1988-05-24 1989-08-08 Eastman Kodak Company Apparatus for treating wafers utilizing megasonic energy

Also Published As

Publication number Publication date
EP0397826B1 (en) 1992-12-16
DK187290A (da) 1990-08-06
NO173772C (no) 1994-02-02
CA2002066A1 (en) 1990-06-07
US5013366A (en) 1991-05-07
NO903238D0 (no) 1990-07-19
JPH0586241B2 (da) 1993-12-10
WO1990006189A1 (en) 1990-06-14
EP0397826A1 (en) 1990-11-22
NO903238L (no) 1990-07-19
JPH03123604A (ja) 1991-05-27
NO173772B (no) 1993-10-25

Similar Documents

Publication Publication Date Title
DK187290D0 (da) Renseproces under anvendelse af tilstandsaendring for fluida naerved det kritiske punkt
DE68927156D1 (de) Reinigungsmittel
DK0468157T3 (da) Borepatron
DK0468128T3 (da) Borepatron
DK173089A (da) Vaskeartikel
DK114888D0 (da) Fremgagnsmaade til maskinopvask samt maskine til udoevelse af fremgangsmaaden
DK0396301T3 (da) Artikeltransportkasse
DK234689D0 (da) Fremgangsmaade til rensning af polydekstrose
BR8903682A (pt) Artigo de limpeza
DK0441478T3 (da) Separeringsfremgangsmåde
IT1236887B (it) Suscettore
DK182390D0 (da) Kateterrenser
TR24279A (tr) Yikama maddesini durulama duezeni
BR8800883A (pt) Artigo
DE69024957D1 (de) Reinigungsmittel
FI904845A (fi) Kuvausmenetelmä kappaleiden rakenteen määrittämiseksi
NO893890L (no) Fremgangsmaate for fjerning av sulfider.
DK162889D0 (da) Fremgangsmaade til rensning af dipeptider
DK570688D0 (da) Fremgangsmaade til rensning af polyorganphosphanzenelastromerer
IT9022403A0 (it) Procedimento per la funzionalizzazione di trifluorometilbenzeni
BR8905674A (pt) Tubeira de fiacao
FI903029A0 (fi) Elektrokemiskt foerfarande foer avkaenning av fluida.
BR9001049A (pt) Cesto de centrifuga
DK601989A (da) Bruseadskillelse
KR910002070U (ko) 박피기

Legal Events

Date Code Title Description
AHB Application shelved due to non-payment