DE69836857T2 - Gerät und verfahren zur steuerung der ionenenergie und plasmadichte in einer plasma-behandlungsvorrichtung - Google Patents

Gerät und verfahren zur steuerung der ionenenergie und plasmadichte in einer plasma-behandlungsvorrichtung Download PDF

Info

Publication number
DE69836857T2
DE69836857T2 DE69836857T DE69836857T DE69836857T2 DE 69836857 T2 DE69836857 T2 DE 69836857T2 DE 69836857 T DE69836857 T DE 69836857T DE 69836857 T DE69836857 T DE 69836857T DE 69836857 T2 DE69836857 T2 DE 69836857T2
Authority
DE
Germany
Prior art keywords
source
plasma
power
electrode
load
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69836857T
Other languages
English (en)
Other versions
DE69836857D1 (de
Inventor
Roger Mountain View PATRICK
Norman Newark WILLIAMS
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE69836857D1 publication Critical patent/DE69836857D1/de
Application granted granted Critical
Publication of DE69836857T2 publication Critical patent/DE69836857T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft Plasmabearbeitungssysteme und insbesondere Verfahren und Vorrichtungen zum Regeln der Menge von Ionenenergie und/oder der Plasmadichte in einem induktiv gekoppelten Plasmabearbeitungssystem.
  • ALLGEMEINER STAND DER TECHNIK
  • Ionisiertes Gas, oder Plasma, wird häufig bei der Bearbeitung und Fertigung von Halbleiterbauteilen verwendet. Beispielsweise kann Plasma verwendet werden, um Material von Halbleiterwafern für integrierte Schaltungen zu ätzen oder zu entfernen und um Material auf halbleitende, leitende oder isolierende Oberflächen zu sputtern oder aufzudampfen. Die Erzeugung eines Plasmas für die Verwendung in Herstellungs- oder Fertigungsprozessen beginnt typischerweise, indem verschiedene Prozessgase in eine Plasmakammer in einem Plasmareaktor eingeführt werden, in der die Gase mit einem Werkstück, wie beispielsweise einem Wafer für eine integrierte Schaltung, in Berührung sind. Die Moleküle der Gase in der Kammer werden von Radiofrequenzenergie (RF-Energie), die von einer externen Leistungsquelle an die Plasmakammer geliefert wird, zu einem Plasma ionisiert. Während der Bearbeitung berühren das Plasma und ionisierte Partikel das Werkstück.
  • Die an die Plasmakammer angelegte RF-Energie führt ein elektrisches Feld ein, das Elektronen beschleunigt, die dann mit einzelnen Gasmolekülen kollidieren, was die weitere Erzeugung von Elektronen und Ionen bewirkt. Es gibt mehrere Möglichkeiten, ein elektrisches Feld im Plasmareaktor einzuführen. Zwei gebräuchliche Typen von Plasmabearbeitungssystemen sind kapazitiv gekoppelte Plasmabearbeitungssysteme und induktiv gekoppelte Plasmabearbeitungssysteme.
  • 1 ist eine Darstellung eines typischen kapazitiv gekoppelten Plasmabearbeitungssystems 10, wie beispielsweise in Microchip Fabrication (Mikrochipfertigung), 3. Ausgabe, McGraw-Hill (1997), Van Zant, S. 267, 268 offenbart, für die Verwendung bei der Bearbeitung und Fertigung von Halbleiterbauteilen. Wie gezeigt, umfasst das Plasmabearbeitungssystem 10 einen Plasmareaktor 12, mit einer darin befindlichen Plasmakammer 13. In der Plasmabearbeitungskammer 13 befinden sich zwei Elektroden 14a und 14b, die einen Kondensator bilden. Die Elektrode 14a ist an Masse 20 gelegt und die Elektrode 14b ist so angeschlossen, dass sie über ein Anpassnetzwerk 18 RF-Energie von einer Leistungsversorgung 16 empfängt. Wenn die Leistungsversorgung 16 erregt ist, wird die RF-Energie an den zwischen den Elektroden 14a und 14b gebildeten kapazitiven Kreis angelegt. Wenn ionisierbare Gase in der Plasmakammer 13 bereitgestellt werden, wird ein Plasma 22 gebildet, wenn die RF-Energie angelegt wird.
  • Da das Plasmabearbeitungssystem 10 nur eine Leistungsversorgung 16 hat, neigt eine Erhöhung der Leistung der von der Leistungsversorgung 16 erzeugten RF-Energie dazu, sowohl die Dichte des Plasmas (d.h. die Plasmadichte) als auch die Gleichstromvorspannung (DC-Vorspannung) an Elektrode 14b und Wafer 24 zu erhöhen. Eine Erhöhung der DC-Vorspannung bewirkt üblicherweise eine entsprechende Erhöhung des Potentialabfalls über der Plasmahülle 26, was die Energie (d.h. die Ionenenergie) der den Wafer 24 berührenden ionisierten Partikel erhöht.
  • 2 ist eine Darstellung eines herkömmlichen induktiv gekoppelten Plasmabearbeitungssystems 30 für die Bearbeitung und Fertigung von Halbleiterbauteilen. Das in 2 dargestellte System ist von dem in US-Patenten 4,948,458 und 5,571,366 offenbarten Typ. Das induktiv gekoppelte Plasmabearbeitungssystem 30 umfasst einen Plasmareaktor 32 mit einer darin befindlichen Plasmakammer 33. Im Gegensatz zum Plasmabearbeitungssystem 10 von 1, umfasst das induktiv gekoppelte Plasmabearbeitungssystem 30 zwei Leistungsversorgungen 34 und 36, die das in der Plasmakammer 33 erzeugte Plasma beeinflussen. Die Leistungsversorgung 34 ist dazu konfiguriert, RF-Energie über ein Anpassnetzwerk 38 an eine Elektrode, ein Spannfutter (d.h. einen Werkstückhalter) 40 im Plasmareaktor 32 zu liefern. Die RF-Energieversorgung 34 bildet eine DC-Vorspannung an einem Wafer 42 aus, der sich typischerweise auf einer Oberseite 44 des Spannfutters 40 befindet.
  • Die Leistungsversorgung 36 ist dazu konfiguriert, RF-Energie über ein Anpassnetzwerk 46 an eine Spule 48 in der Nähe der Plasmakammer 33 zu liefern. Das Fenster 50, beispielsweise eine Keramikplatte, trennt die Spule 48 von der Plasmakammer 33. Wie außerdem gezeigt, gibt es typischerweise einen Gaszufuhrmechanismus 52, der die für den Herstellungsprozess benötigte korrekte Chemie an den Plasmareaktor 32 zuführt. Ein Gasablassmechanismus 54 entfernt Partikel aus dem Inneren der Plasmakammer 33 und hält einen bestimmten Druck in der Plasmakammer 33 aufrecht. Als Folge erzeugt die von der Leistungsversorgung 36 erzeugte RF-Energie ein Plasma 56, sofern ionisierbare Gase in die Plasmakammer 33 zugeführt werden.
  • Die Regelung und Abgabe der RF-Leistung in einer Plasmaentladung ist bei der Plasmabearbeitung von grundlegender Bedeutung. Die Höhe der Ist-Leistung in der Plasmakammer hat einen starken Einfluss auf die Prozessbedingungen. Erhebliche Schwankungen in der an die Plasmakammer gelieferten Ist-Leistung können den erwarteten Beitrag anderer variabler Prozessparameter wie Druck, Temperatur und Ätzgeschwindigkeit unerwartet verändern.
  • Wie in 1 und 2 dargestellt, besteht das am häufigsten verwendete Verfahren zum Erhalten einer vorbestimmten RF-Leistung in der Plasmakammer darin, ein Anpassnetzwerk im Leistungskreis bereitzustellen. Das Anpassnetzwerk wandelt im Wesentlichen die Impedanz (kapazitiver bzw. induktiver Widerstand) der Plasmaentladung in im Wesentlichen eine Widerstandslast für die Leistungsversorgung. Die Leistungsversorgung (bzw. Leistungsversorgungen) kann bzw. können dann abhängig von den gewünschten Prozessparametern auf ein vorbestimmtes Leistungsniveau eingestellt werden.
  • Zum Beispiel umfasst ein typisches Anpassnetzwerk variable Kondensatoren und/oder Induktoren als Anpasskomponenten (für niedrige bis hohe RF-Frequenzen) und variable Hohlraumabgriffe oder Abstimmleitungen (für die Verwendung bei Mikrowellenfrequenzen). Anpassnetzwerke können manuell oder automatisch eingestellt werden, die meisten Anpassnetzwerke passen sich jedoch automatisch an wechselnde Lastbedingungen an.
  • Um die Höhe der in die Plasmakammer gelieferten RF-Leistung möglichst weiter zu regeln, wird in einem typischen Plasmabearbeitungssystem der Ausgang von der Leistungsversorgung (bzw. den Leistungsversorgungen) überwacht und geregelt. Dies findet gewöhnlich am Ausgang der Leistungsversorgung selbst statt und zwar teilweise basierend auf der Annahme, dass die Leistungsverluste im Anpassnetzwerk vernachlässigbar sind.
  • Es wurde jedoch festgestellt, dass die an die Plasmakammer gelieferte RF-Leistung aufgrund von unerwarteten Verlusten, beispielsweise im Anpassnetzwerk selbst, wesentlich geringer ist, als der Ausgang der RF-Leistungsversorgung. Um Verluste im Anpassnetzwerk bei kapazitiv gekoppelten Plasmabearbeitungssystemen auszugleichen, wurden zusätzliche Mess- und Regelschaltungen in den Leistungskreis eingefügt. Beispielsweise offenbaren die US- Patente 5,175,472, 5,474,648 und 5,556,549 verschiedene Möglichkeiten zur Verwendung eines RF-Sensors und eines Reglers, um eine zusätzliche Rückführungs-Regelkreisschaltung bereitzustellen, die den Ausgang der Leistungsversorgung anpasst, um ein gewünschtes RF-Leistungsniveau in der Plasmakammer zu erreichen.
  • Solche Rückführungsverfahren wurden in induktiv gekoppelten Plasmabearbeitungssystemen nicht verwendet, da lange geglaubt wurde, dass die beiden Leistungsversorgungen insofern unabhängig voneinander sind, dass die an die Spule gelieferte RF-Leistung die Plasmadichte regelt und die an das Spannfutter gelieferte RF-Leistung die Energie der den Wafer berührenden Ionen regelt (d.h. durch Regeln der DC-Vorspannung). Daher wurde angenommen, dass durch Vorhandensein der zwei Leistungsversorgungen zusätzliche Kontrolle über den Prozess inhärent bereitgestellt wurde, indem die Ausgänge der beiden Leistungsregelungen unabhängig eingestellt wurden und sie in einem Open-loop-Betrieb (d.h. ohne Rückführung) betrieben wurden.
  • In Wirklichkeit sind Plasmadichte und Ionenenergie nicht wirklich unabhängig, da eine Kopplung zwischen der an der Quelle bereitgestellten Leistung und dem Wafer-Spannfutter besteht. Diese Kopplung ist beispielsweise in 3 dargestellt, bei der es sich um ein Diagramm der DC-Vorspannung gegenüber der an den Wafer gelieferten RF-Leistung für verschiedene RF-Leistungseinstellungen der an die Spule gelieferten RF-Leistung und verschiedene Spalte (d.h. 4 bzw. 6 cm) zwischen der Decke der Plasmakammer und der Oberfläche des Wafers handelt. Die in 3 aufgetragenen Daten wurden von einem TCP9600SE Bearbeitungssystem gewonnen, das von der Lam Research Corporation in Fremont, Kalifornien erhältlich ist. Wie gezeigt, neigt der Betrag der DC-Vorspannung dazu, zuzunehmen, wenn die an das Spannfutter gelieferte RF-Leistung (d.h. die untere Leistung) zunimmt. Bei einer gegebenen unteren Leistung hängt die ausgebildete DC-Vorspannung jedoch auch von der an die Spule gelieferten RF-Leistung (d.h. der TCP-Leistung) ab und bis zu einem gewissen Grad vom Spaltabstand 60. Diese Kopplung zwischen den beiden RF-Leistungsversorgungen zeigt sich außerdem in der Energie der den Wafer berührenden Ionen. Währen daher die Plasmadichte scheinbar ausschließlich von der TCP-Leistungsversorgung geregelt wird, wird andererseits die Ionenenergie nicht ausschließlich von der unteren Leistungsversorgung geregelt.
  • Es besteht daher Bedarf an einem Verfahren und einer Vorrichtung zum wirksameren Regeln der Plasmadichte und der Ionenenergie in einem induktiv gekoppelten Plasmabearbeitungssystem.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Gemäß einem Aspekt der vorliegenden Erfindung wird eine Vorrichtung breitgestellt, zum Steuern eines RF-Plasmas zum Behandeln eines Werkstücks in einer Plasmabearbeitungskammer, mit einem Werkstückhalter mit einer Elektrode, umfassend eine erste RF-Quelle und ein erstes Anpassnetzwerk, das zwischen der ersten RF-Quelle und der Elektrode angeschlossen ist; wobei die erste Quelle, das erste Anpassnetzwerk und die Elektrode so aufgebaut und angeordnet sind, dass RF-Energie von der ersten RF-Quelle über das erste Anpassnetzwerk an die Elektrode und dann an das Plasma gekoppelt wird, um eine Vorspannung an das Werkstück anzulegen, wobei das Plasma in einer Last für die erste RF-Quelle enthalten ist; gekennzeichnet durch eine erste Sensoranordnung, um die elektrische RF-Leistung und/oder den RF-Strom und/oder die RF-Spannung zu messen, die von der ersten RF-Quelle über das erste Anpassnetzwerk an die Elektrode angelegt werden und eine Regleranordnung, die dazu angeordnet ist, auf eine Anzeige des von der ersten Sensoranordnung gemessenen RF-Parameters zu reagieren, um die elektrische RF-Leistung und/oder den RF-Strom und/oder die RF-Spannung zu regeln, die die erste RF-Quelle an das erste Anpassnetzwerk anlegt.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung wird ein Verfahren bereitgestellt, zum Bearbeiten eines Werkstücks mit einer RF-Plasmabearbeitungskammer mit einem Werkstückhalter mit einer Elektrode, umfassend Anlegen von RF von einer ersten Quelle über ein erstes Anpassnetzwerk an die Elektrode, so dass das Plasma in einer Last für die erste Quelle enthalten ist, gekennzeichnet durch Messen der elektrischen RF-Leistung und/oder dem RF-Strom und/oder der RF-Spannung, die an die Elektrode angelegt werden und Regeln der elektrischen RF-Leistung und/oder des RF-Stroms und/oder der RF-Spannung, die die erste RF-Quelle an das erste Anpassnetzwerk anlegt als Reaktion auf den an die Elektrode angelegten, gemessenen elektrischen RF-Parameter.
  • Die obigen sowie weitere Merkmale, Aspekte und Vorteile der vorliegenden Erfindung werden deutlicher aus der nachfolgenden ausführlichen Beschreibung der vorliegenden Erfindung, wenn diese zusammen mit den beiliegenden Zeichnungen betrachtet wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Wie beschrieben, ist 1 ein Blockdiagramm eines herkömmlichen, kapazitativ gekoppelten Plasmabearbeitungssystems mit einer einzigen Leistungsversorgung;
  • wie beschrieben, ist 2 ein Blockdiagramm eines herkömmlichen, induktiv gekoppelten Plasmabearbeitungssystems mit zwei Leistungsversorgungen;
  • wie beschrieben, ist 3 ein Diagramm des Gleichstrom-(DC-)Vorspannungsniveaus als Funktion der an die Spule von 2 gelieferten Leistung und der an den Werkstückhalter von 2 gelieferten Leistung;
  • 4a ist ein Blockdiagramm eines verbesserten, induktiv gekoppelten Plasmabearbeitungssystems mit einem Rückführungskreis gemäß einer Ausführungsform der vorliegenden Erfindung;
  • 4b ist ein Blockdiagramm eines verbesserten, induktiv gekoppelten Plasmabearbeitungssystems mit zwei Rückführungskreisen gemäß einer Ausführungsform der vorliegenden Erfindung;
  • 5 ist ein Blockdiagramm einer Ausführungsform eines Rückführungskreises gemäß einer Ausführungsform der vorliegenden Erfindung, der in den induktiv gekoppelten Plasmabearbeitungssystemen in 4a und 4b verwendet werden kann;
  • 6a ist ein Diagramm der an die Plasmakammer gelieferten resultierenden Lastleistung in einem herkömmlichen, induktiv gekoppelten Plasmabearbeitungssystem, wie dem in 2 gezeigten;
  • 6b ist ein Diagramm der an die Plasmakammer gelieferten Lastleistung in einem verbesserten, induktiv gekoppelten Plasmabearbeitungssystem, wie dem in 4a gezeigten, gemäß einer Ausführungsform der vorliegenden Erfindung;
  • 7 ist ein vereinfachtes Schaltschema eines beispielhaften Anpassnetzwerks und der Prozesskammerlast aus der Sicht der Leistungsversorgung in einem herkömmlichen, induktiv gekoppelten Plasmabearbeitungssystem, wie dem in 2 gezeigten; und
  • 8 ist ein Diagramm des Leistungsabgabewirkungsgrads gegenüber dem Lastwiderstand, der den in 6a und 6a aufgetragenen Daten entspricht.
  • AUSFÜHRLICHE BESCHREIBUNG DER BEISPIELHAFTEN AUSFÜHRUNGSFORMEN
  • Plasmaprozesse werden charakterisiert und schlussendlich optimiert, indem die Prozessergebnisse an einem Werkstück, wie beispielsweise einem Halbleiter-Wafer, ausgewertet werden. Die Prozessergebnisse können als Funktion der Plasmaprozessparameter charakterisiert werden, z.B. RF-Leistung, Plasmagasdruck, Temperatur, Plasmakammerspaltabstand, Typen der zum Bilden des Plasmas verwendeten Gase und die Gasdurchflüsse. Wie oben erwähnt, ist es zur besseren Regelung des Plasmaprozesses vorzuziehen, die Eigenschaften der tatsächlich an die Prozesskammer gelieferten RF-Leistung zu regeln.
  • Die Verfahren und Vorrichtungen der verschiedenen Ausführungsformen der vorliegenden Erfindung bieten eine verbesserte Regelung der Plasmadichte und/oder der Ionenenergie in einem induktiv gekoppelten Plasmabearbeitungssystem. Beispielsweise können bei der vorliegenden Erfindung Plasmadichte und Ionenenergie unabhängig durch einen oder mehrere Rückführungs-Regelkreise geregelt werden, die im Wesentlichen die RF-Leistungsbeiträge jeder der Leistungsversorgungen, die an die Plasmakammer angelegt werden, entkoppeln.
  • 4a ist demnach ein Blockdiagramm, das gemäß einer Ausführungsform der vorliegenden Erfindung ein verbessertes induktiv gekoppeltes Plasmabearbeitungssystem 30' darstellt, das dem in 2 obenstehend gezeigten Plasmabearbeitungssystem ähnlich ist, außer dass es einen zusätzlichen Rückführungskreis 100 im Vorspannungs-Leistungskreis hat.
  • Wie gezeigt, misst der Rückführungskreis 100, der zwischen das Spannfutter 40 und die Leistungsversorgung 34 gekoppelt ist, mindestens einen Parameter des vom Anpassnetzwerk 38 an das Spannfutter 40 angelegten RF-Signals. Die Parameter können beispielsweise den Strom, die Spannung, die Leistung, eine Impedanz und/oder einen Phasenwinkel umfassen, die mit dem Leistungskreis und dem am Spannfutter 40 angelegten RF-Signal und der Last im Plasmareaktor 32 assoziiert sind. Basierend auf einem oder mehreren dieser gemessenen Parameter, kann beispielsweise die abgegebene RF-Leistung oder Lastimpedanz gemessen und/oder abgeleitet werden.
  • Der Rückführungskreis 100 kann beispielsweise Logik- und/oder Rechenschaltungen enthalten, die dazu konfiguriert sind, die Spannung (z.B. Wirkspannung) des an das Spannfutter 40 angelegten RF-Signals zu überwachen, die abgegebene Leistung zu berechnen und die abgegebene Leistung mit einem gewünschten Leistungsniveau zu vergleichen. Die Ergebnisse dieses Vergleichs können dann, beispielsweise als ein Rückführungs-Regelsignal, an die Leistungsversorgung 34 geliefert werden. Das Rückführungs-Regelsignal passt den Ausgang der Leistungsversorgung 34 so an, dass er dem gewünschten Leistungsniveau entspricht.
  • Der Fachmann wird erkennen, dass andere Parameter überwacht und ähnlich verwendet werden können, um das an das Spannfutter 40 angelegte RF-Signal anzupassen. Wenn beispielsweise ein Benutzer eine feste Ionenenergie aufrecht erhalten möchte, während andere Prozessparameter wie Druck, Gasdurchfluss oder TCP-Leistung verändert werden, kann der Regler so eingerichtet werden, dass am Spannfutter 40 eine feste Wirkspannung aufrecht erhalten wird. Das würde seinerseits dem Fixieren der DC-Vorspannung und der Ionenenergie entsprechen.
  • 4b ist ein Blockdiagramm, das gemäß einer weiteren Ausführungsform der vorliegenden Erfindung ein verbessertes induktiv gekoppeltes Plasmabearbeitungssystem 30'' darstellt, das dem in 4a obenstehend gezeigten Plasmabearbeitungssystem ähnlich ist, außer dass es einen zweiten Rückführungskreis 102 im Plasmaerzeugungs-Leistungskreis hat.
  • Wie gezeigt, misst der Rückführungskreis 102, der zwischen die Spule 48 und die Leistungsversorgung 36 gekoppelt ist, mindestens einen Parameter des vom Anpassnetzwerk 46 an die Spule 48 angelegten RF-Signals. Wie beim Rückführungskreis 100, können die Parameter beispielsweise den Strom, die Spannung, die Leistung, eine Impedanz und/oder einen Phasenwinkel umfassen, die mit dem Leistungskreis und der an der Spule 48 angelegten RF-Energie und der Last im Plasmareaktor 32 assoziiert sind. Basierend auf einem oder mehreren dieser gemessenen Parameter, kann beispielsweise die abgegebene RF-Leistung oder Lastimpedanz berechnet werden.
  • Beispielsweise kann der Rückführungskreis 102 Logik- und/oder Rechenschaltungen enthalten, um die Spannung (z.B. Wirkspannung) des an die Spule 48 angelegten RF-Signals zu überwachen, die abgegebene Leistung zu berechnen und die abgegebene Leistung mit einem gewünschten Leistungsniveau zu vergleichen. Die Ergebnisse dieses Vergleichs können dann, beispielsweise als ein Rückführungs-Regelsignal, an die Leistungsversorgung 36 geliefert werden. Das Rückführungs-Regelsignal passt den Ausgang der Leistungsversorgung 36 so an, dass er dem gewünschten Leistungsniveau entspricht.
  • Außerdem können die Rückführungskreise 100 und 102 eine gemeinsame Rechenschaltung gemeinsam nutzen, beispielsweise ein Computersystem, das computerimplementierte Anweisungen ausführt. Die gemeinsam genutzte Rechenschaltung kann Daten enthalten, die beim Erzeugen eines oder mehrerer der Rückführungs-Regelsignale den Status des Fertigungsprozesses sowie jeden der gemessenen Parameter von den Leistungsversorgungen berücksichtigen.
  • 5 ist ein Blockdiagramm einer Ausführungsform eines Rückführungskreises 100', der verwendet werden kann, beispielsweise in 4a und 4b, um ein Rückführungs-Regelsignal an eine Leistungsversorgung in einem induktiv gekoppelten Plasmabearbeitungssystem bereitzustellen. Der Rückführungskreis 100' umfasst einen Sensor 104 und einen Regler 106. Der Sensor 104 misst oder erfasst einen oder mehrere Parameter der an die Last (z.B. Kabel, Stecker, Spannfutter oder Spule/Resonator, Plasma, Wafer oder ähnliches und allfällige zugehörige Streuelemente) angelegten RF-Energie und gibt ein erfasstes Parametersignal an den Regler 106 aus.
  • In einer beispielhaften Ausführungsform handelt es sich bei dem Sensor 104 um eine RFZ 60 RF-Sonde, die von der Advanced Energy Corporation, Fort Collins, Colorado erhältlich ist. Bei Verwendung der RFZ 60 können Leistung, Spannung, Strom und komplexe Impedanz aus Tiefpegelsignalen abgeleitet werden, die für die Vektorkombinationen der vorwärtsgerichteten und reflektierten RF-Welle repräsentativ sind. Der Sensor wird unter Verwendung verschiedener bekannter Lasten und eines Extrapolationsalgorithmus (von Advanced Energy Corporation bereitgestellt) kalibriert und Oberwellen werden mit Filtern in der Sonde unterdrückt.
  • Der Regler 106 empfängt das erfasste Parametersignal vom Sensor 104 und liefert ein Rückführungs-Regelsignal an eine Leistungsversorgung (z.B. Leistungsversorgung 34 oder 36). Der Regler 106 empfängt außerdem eine Anzeige eines gewünschten Parameterniveaus, die das gewünschte Betriebsniveau für die Leistungsversorgung definiert. Beispielsweise kann es sich bei dem gewünschten Parameterniveau um eine Einstellung oder einen Signaleingang vom Benutzer direkt an den Regler handeln oder an eine andere Schaltung/Vorrichtung (wie beispielsweise die Leistungsversorgung selbst), die die Information an den Regler 106 liefert. Bei dem Regler 106 kann es sich beispielsweise um ein Computersystem mit einem Prozessor, einem Speicher und einem Eingabe/Ausgabemechanismus handeln.
  • In der bevorzugten Ausführungsform umfasst der Regler 106 eine intelligente Kabelvorrichtung, die von der Advanced Energy Corporation erhältlich ist. Die intelligente Kabelvorrichtung ist an die RFZ 60 Sonde und den Leistungsregelkreis der Leistungsversorgung gekoppelt. Das intelligente Kabel führt allfällige verbleibende Berechnungen aus und erzeugt das Rückführungs-Regelsignal basierend auf einem gewünschten Parameterniveau, das von einem Leistungsregelkreis (nicht abgebildet) in der Leistungsversorgung empfangen wird. Außerdem kann das intelligente Kabel an ein Computersystem, wie beispielsweise ein Personal Computer (PC) gekoppelt werden, um zusätzliche Parameterüberwachungs- und/oder Regelungsfähigkeiten bereitzustellen.
  • Ohne den Rückführungskreis 100' arbeitet der Leistungskreis im Wesentlichen in einem Open-loop-Betrieb, wobei die gewünschte Leistung eingestellt wird, beispielsweise an einer Maschinenkonsole, und die Leistungsversorgung Leistung in dieser Höhe an Anpassnetzwerk und Last liefert. Mit dem Rückführungskreis 100' arbeitet der Leistungskreis jedoch im Wesentlichen in einem Closed-loop-Betrieb, um die Höhe von RF-Leistung, Spannung und/oder Strom zu regeln, die von der Leistungsversorgung geliefert werden, um sicherzustellen, dass das gewünschte Leistungsniveau tatsächlich an die Last angelegt wird, was vom Sensor 104 gemessen wird.
  • Die Vorteile der verschiedenen Ausführungsformen der vorliegenden Erfindung sind in 6a und 6b grafisch dargestellt, die die Testergebnisse von Daten zeigen, die von einem Lam Research TCP 9600SE System erfasst wurden. Die Daten von 6 wurden erfasst, als das System, wie in 2 gezeigt, konfiguriert war, außer dass eine RF-Sonde in einem Open-loop-Betrieb erfasste und lief. Die Daten von 6b wurden erfasst, als das System gemäß 4a und 5 angeordnet war und in einem Closed-loop-Betrieb lief.
  • Im Open-loop-Betrieb, wie in 6a gezeigt, ist die gemessene Spannung der abgegebenen RF-Leistung am Spannfutter eine Funktion der Ausgänge der Leistungsversorgung 34 (Bias- Leistung) und der Leistungsversorgung 36 (TCP-Leistung). Die von der Leistungsversorgung 34 erzeugte Spannung ist auf der senkrechten Achse aufgetragen und die vom Sensor 104 gemessene Ist-Lastleistung ist entlang der waagrechten Achse aufgetragen. In diesem Beispiel liegt die Bias-Leistung im Bereich zwischen 100 Watt und 500 Watt und die TCP-Leistung zwischen 0 Watt und 800 Watt, jeweils in 100-Watt-Schritten.
  • Im Closed-loop-Betreib, wie in 6b gezeigt, ist die von der Leistungsversorgung 34 erzeugte Spannung wieder entlang der senkrechten Achse aufgetragen und die vom Sensor 104 gemessene Ist-Lastleistung ist entlang der horizontalen Achse aufgetragen. Auch in diesem Beispiel liegt die Bias-Leistung im Bereich zwischen 100 Watt und 500 Watt und die TCP-Leistung zwischen 0 Watt und 800 Watt, jeweils in 100-Watt-Schritten. Wie gezeigt, ist die abgegebene RF-Leistung nun im Wesentlichen konstant und unabhängig von der TCP-Leistung.
  • Unter Beachtung der obigen Ausführungen wird nun der Wirkungsgrad der Leistungskreise betrachtet. Der Wirkungsgrad der Leistungsabgabe an die Last ist von erheblichem Interesse und von erheblicher Bedeutung, wenn Rezepturen zwischen verschiedenen Systemen übertragen werden. Der Wirkungsgrad der Leistungsübertragung durch das Netzwerk kann definiert werden durch: Wirkungsgrad = (PL/PG) (Gleichung 1)wobei PL die an die Last abgegebene Leistung ist und PG die von der Leistungsversorgung gelieferte Leistung (unter Vernachlässigung von Leistungsverlusten im Kabel und unter der Annahme, dass die reflektierte Leistung gering ist). Beispielsweise können sowohl PL als auch PG vom Sensor und Regler gemessen und in definierten Abständen aufgezeichnet werden.
  • 7 ist eine vereinfachte schematische Schaltung 200 eines beispielhaften Anpassnetzwerks und einer Prozesskammerlast aus der Sicht der Leistungsversorgung. Die Schaltung 200 umfasst einen Anpasswiderstand RM 202, eine Anpassimpedanz 204, eine Anpassreihenkapazität 206, eine Lastimpedanz 208 und einen Strom (i) 210.
  • Daher kann der Wirkungsgrad geschrieben werden als: Wirkungsgrad = PL/(PL + PM) = (i2 × PL)/{(i2 × PL) + (i2 × RM)} = RL/(RL + RM) (Gleichung 2)wobei PM die im Anpassnetzwerk verlorene Leistung ist. Bei konstantem Anpasswiderstand RM sollte der aus Gleichung 1 erhaltene Wirkungsgrad, über RL aufgetragen, die einfache Form von Gleichung 2 haben, woraus ein Wert von RM ausgezogen werden kann. Der Wirkungsgrad würde von 0, wenn RL gleich 0, auf Eins, wenn RL viel größer ist als RM, ansteigen und der Graph sollte unabhängig von Chemie, Leistung, Druck usw. sein und nur vom Anpassnetzwerk und Lastwiderständen abhängen. Anpassnetzwerke könnten daher zu verschiedenen abgegebenen Leistungen für die selbe Leistungsversorgung führen, wenn die Anpassnetzwerke unterschiedliche interne Widerstände haben. Durch Kenntnis des Wirkungsgrads des Anpassnetzwerks und unter Verwendung eines Rückführungskreises kann die abgegebene Leistung trotzdem wirksamer geregelt werden.
  • 8 ist ein Diagramm des Leistungsabgabewirkungsgrads gegenüber dem Lastwiderstand, der den Daten von 6a und 6b entspricht. Die Daten liegen eng um eine Kurve herum, die durch obenstehende Gleichung 2 beschrieben wird und zeigen, dass der Wirkungsgrad der Leistungsversorgung deutlich geringer ist als Eins und dass sich der Wirkungsgrad mit dem Betrag der Plasmalast verändert. Im Open-loop-Betrieb ist daher die wirkliche, in das Plasma abgegebene Leistung im Wesentlichen unbekannt, während im Closed-loop-Betrieb die abgegebene Leistung genau auf einen gewünschten Wert eingestellt werden kann.
  • Außerdem besteht bei der in 4b dargestellten Konfiguration (d.h. zwei Rückführungskreise) der zusätzliche Vorteil, dass die abgegebene Leistung an der Quelle geregelt werden kann, theoretisch unabhängig von allfälligen Verlusten des Wirkungsgrads infolge Anpassnetzwerk, Streukapazitäten, Induktivitäten oder anderen Elementen des Leistungskreises und des Bearbeitungssystems.
  • Gemäß einer Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zum Regeln der Ionenenergie in einem Plasmareaktor (a) Bereitstellen von RF-Energie von einer ersten Quelle an den Plasmareaktor, (b) Erzeugen einer Gleichstromvorspannung, wobei die RF-Energie von der ersten Quelle an ein im Plasmareaktor befindliches Werkstück gekoppelt wird, (c) Liefern von RF-Energie von einer zweiten Quelle an den Plasmareaktor und Beaufschlagen eines Plasmas im Plasmareaktor mit der Energie von der zweiten RF-Quelle, (d) Erfassen eines Parameters der Energie mit einem RF-Sensor, wobei der Parameter erfasst wird, nachdem die RF-Energie von der ersten Quelle von einem Anpassnetzwerk konditioniert wurde, (e) Vergleichen des erfassten Parameters mit einem gewünschten Parameterniveau, und (f) Verändern des RF-Ausgangs der ersten Quelle basierend auf dem Vergleich, so dass das Niveau der Energie der ionisierten Partikel, die das Werkstück berühren, als Folge des Betrags der von der ersten RF-Quelle aufgebauten Gleichstromvorspannung, geregelt wird.
  • Gemäß einer weiteren Ausführungsform der vorliegenden Erfindung regelt ein dem eben beschriebenen ähnliches Verfahren die Plasmadichte. So umfasst das ähnliche Verfahren das Erfassen eines zweiten Parameters von RF, die von einer zweiten RF-Quelle an das Plasma geliefert wird, das Vergleichen des zweiten Parameters mit einem zweiten gewünschten Parameter und das Verändern des Ausgangs der zweiten RF-Quelle basierend auf diesem zweiten Vergleich, so dass die Dichte des Plasmas im Plasmareaktor geregelt wird.
  • Die Verfahren und Vorrichtungen der vorliegenden Erfindung sind anwendbar auf andere Plasmabearbeitungssysteme mit mindestens zwei Leistungsversorgungen – eine zum Erzeugen des Plasmas und der andere zum Erzeugen einer Gleichstromvorspannung. Beispielsweise ist die vorliegende Erfindung anwendbar auf Plasmabearbeitungssysteme mit induktiv gekoppeltem Plasma (Inductively Coupled Plasma, ICP), transformatorgekoppeltem Plasma (Transformer Coupled Plasma, TCP), Elektron-Zyklotron-Resonanz-(ECR) und Helicon- oder Spiralresonanz-Plasmabearbeitungssystemen.

Claims (11)

  1. Vorrichtung zum Steuern eines RF-Plasmas zum Behandeln eines Werkstücks (42) in einer Plasmabearbeitungskammer (32), mit einem Werkstückhalter (40) mit einer Elektrode (40), umfassend eine erste RF-Quelle (34) und ein erstes Anpassnetzwerk (38), das zwischen der ersten RF-Quelle (34) und der Elektrode (40) angeschlossen ist; wobei die erste RF-Quelle (34), das erste Anpassnetzwerk (38) und die Elektrode (40) so aufgebaut und angeordnet sind, dass RF-Energie von der ersten RF-Quelle über das erste Anpassnetzwerk an die Elektrode und dann an das Plasma gekoppelt wird, um eine Vorspannung an das Werkstück anzulegen, wobei das Plasma in einer Last für die erste RF-Quelle (34) enthalten ist; gekennzeichnet durch eine erste Sensoranordnung (104), um die elektrische RF-Leistung und/oder den RF-Strom und/oder die RF-Spannung zu messen, die von der ersten RF-Quelle über das erste Anpassnetzwerk an die Elektrode angelegt werden und eine Regleranordnung (100, 106), die dazu angeordnet ist, auf eine Anzeige des von der ersten Sensoranordnung (104) gemessenen RF-Parameters zu reagieren, um die elektrische RF-Leistung und/oder den RF-Strom und/oder die RF-Spannung zu regeln, die die erste RF-Quelle (34) an das erste Anpassnetzwerk (38) anlegt.
  2. Vorrichtung nach Anspruch 1, wobei die Plasmabearbeitungskammer (32) gekoppelt ist mit einer Spule (48), um Gas in der Kammer zu einem Plasma zu erregen, einer zweiten RF-Quelle (36) und einem zweiten Anpassnetzwerk (46), das zwischen der Spule (48) und der zweiten RF-Quelle (36) angeschlossen ist, so dass das Plasma eine Last für die zweite RF-Quelle (36) ist.
  3. Vorrichtung nach Anspruch 2, wobei die Regleranordnung dazu angeordnet ist, auf eine zweite Sensoranordnung zu reagieren, um RF-Energie zu regeln, die von der zweiten RF-Quelle (36) über die Spule an die Last angelegt wird.
  4. Vorrichtung nach Anspruch 3, wobei die zweite Sensoranordnung zum Regeln von RF-Energie, die von der zweiten RF-Quelle (36) über die Spule (48) an die Last angelegt wird, dazu angeordnet ist, einen elektrischen Parameter der Last an der zweiten RF-Quelle (36) zu messen und die Regleranordnung (102) dazu angeordnet ist, auf den gemessenen elektrischen Parameter der Last an der zweiten RF-Quelle zu reagieren, um einen elektrischen Parameter der zweiten RF-Quelle (36) zu regeln.
  5. Vorrichtung nach einem der Ansprüche 3 und 4, wobei die Regleranordnung (100) dazu angeordnet ist, die erste und die zweite RF-Quelle unabhängig voneinander zu regeln, so dass die Plasmaionenenergie unabhängig von der Plasmadichte, die von der Energie geregelt wird, die die zweite RF-Quelle über die Spule an das Plasma anlegt, von der Energie geregelt wird, die die erste RF-Quelle an die Elektrode anlegt.
  6. Vorrichtung nach einem der Ansprüche 1–4, wobei die erste Quelle (34) und das Plasma dazu angeordnet sind, eine DC-Vorspannung am Werkstück (42) auszubilden und die erste Sensoranordnung (104) und die Regleranordnung (100) dazu angeordnet sind, die erste RF-Quelle zu regeln, um das Energieniveau ionisierter Teilchen im auf das Werkstück (42) einfallenden Plasma zu regeln.
  7. Vorrichtung nach einem der vorangehenden Ansprüche, wobei die Sensoranordnung dazu angeordnet ist, die an die Elektrode angelegte Wirkspannung zu messen.
  8. Verfahren zum Bearbeiten eines Werkstücks (42) mit einem RF-Plasma in einer Plasmabearbeitungskammer (32) mir einem Werkstückhalter (40) mit einer Elektrode (40), umfassend Anlegen von RF von einer ersten Quelle (34) über ein erstes Anpassnetzwerk (38) an die Elektrode (40), so dass das Plasma in einer Last für die erste Quelle (34) enthalten ist, gekennzeichnet durch Messen der elektrischen RF-Leistung und/oder dem RF-Strom und/oder der RF-Spannung, die an die Elektrode (40) angelegt werden und Regeln (100) der elektrischen RF-Leistung und/oder des RF-Stroms und/oder der RF-Spannung, die die erste RF-Quelle (34) an das erste Anpassnetzwerk (38) anlegt als Reaktion auf den an die Elektrode (40) angelegten, gemessenen elektrischen RF-Parameter.
  9. Verfahren nach Anspruch 8, weiter umfassend das Regeln der RF-Energie, die von einer zweiten RF-Quelle (36) über eine Spule (48) an die Last angelegt wird als Reaktion auf einen mit der an die Spule gekoppelten Plasmalast assoziierten, gemessenen elektrischen Parameter.
  10. Verfahren nach Anspruch 9, umfassend das unabhängige Regeln des elektrischen RF-Parameters, den die erste RF-Quelle (34) an das erste Anpassnetzwerk (38) anlegt und des elektrischen Parameters der zweiten RF-Quelle (36), so dass die elektrischen Parameter der ersten und der zweiten Quelle jeweils unabhängig als Reaktion auf den an die Elektrode (40) angelegten, gemessenen elektrischen RF-Parameter und den mit der an die Spule (48) gekoppelten Plasmalast assoziierten, gemessenen elektrischen Parameter geregelt werden.
  11. Verfahren nach Anspruch 7–10, wobei die an die Elektrode angelegte Wirkspannung gemessen wird.
DE69836857T 1997-04-16 1998-04-15 Gerät und verfahren zur steuerung der ionenenergie und plasmadichte in einer plasma-behandlungsvorrichtung Expired - Lifetime DE69836857T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US843476 1986-03-24
US08/843,476 US6174450B1 (en) 1997-04-16 1997-04-16 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
PCT/US1998/007336 WO1998047168A1 (en) 1997-04-16 1998-04-15 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system

Publications (2)

Publication Number Publication Date
DE69836857D1 DE69836857D1 (de) 2007-02-22
DE69836857T2 true DE69836857T2 (de) 2007-07-05

Family

ID=25290097

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69836857T Expired - Lifetime DE69836857T2 (de) 1997-04-16 1998-04-15 Gerät und verfahren zur steuerung der ionenenergie und plasmadichte in einer plasma-behandlungsvorrichtung

Country Status (8)

Country Link
US (1) US6174450B1 (de)
EP (1) EP0976141B1 (de)
JP (1) JP4531138B2 (de)
KR (1) KR100582096B1 (de)
AU (1) AU7111298A (de)
DE (1) DE69836857T2 (de)
TW (1) TW434698B (de)
WO (1) WO1998047168A1 (de)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6265831B1 (en) 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
ATE281000T1 (de) 1999-04-14 2004-11-15 Surface Technology Systems Plc Verfahren und gerät zur stabilisierung eines plasmas
US6509542B1 (en) * 1999-09-30 2003-01-21 Lam Research Corp. Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
JP4270872B2 (ja) * 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6714033B1 (en) * 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
US20030077910A1 (en) * 2001-10-22 2003-04-24 Russell Westerman Etching of thin damage sensitive layers using high frequency pulsed plasma
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US6946847B2 (en) * 2002-02-08 2005-09-20 Daihen Corporation Impedance matching device provided with reactance-impedance table
JP2005531912A (ja) * 2002-07-03 2005-10-20 東京エレクトロン株式会社 半導体プラズマパラメータの非侵入性の測定と解析のための方法と装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
EP1547117A4 (de) * 2002-09-23 2010-04-07 Turner Entpr & Associates Wandlerpaket für die prozesssteuerung
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
JP2007150012A (ja) * 2005-11-29 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置および方法
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
JP5420562B2 (ja) * 2007-12-13 2014-02-19 ラム リサーチ コーポレーション プラズマ非閉じ込め事象を検出するための検出装置及びその方法
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
KR101528528B1 (ko) * 2008-05-14 2015-06-12 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US8044594B2 (en) * 2008-07-31 2011-10-25 Advanced Energy Industries, Inc. Power supply ignition system and method
BRPI0916880B1 (pt) 2008-08-04 2019-12-10 Agc Flat Glass Na Inc fonte de plasma e método de formar revestimento que utiliza deposição química a vapor melhorada de plasma e revestimento
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
PL2648209T3 (pl) 2009-02-17 2018-06-29 Solvix Gmbh Urządzenie zasilające do obróbki plazmowej
US8368308B2 (en) * 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
JP5342930B2 (ja) * 2009-05-25 2013-11-13 株式会社アルバック プラズマ処理装置、プラズマ処理方法
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8773019B2 (en) 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
WO2016089424A1 (en) 2014-12-05 2016-06-09 Agc Glass Europe, S.A. Hollow cathode plasma source
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
BR112018012413A2 (pt) * 2015-12-18 2018-12-18 Agc Flat Glass Na Inc fonte de íons de catodo oco e método de extração e aceleração de íons
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
EP0849766A3 (de) * 1992-01-24 1998-10-14 Applied Materials, Inc. Ätzverfahren
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor

Also Published As

Publication number Publication date
AU7111298A (en) 1998-11-11
JP2001524251A (ja) 2001-11-27
DE69836857D1 (de) 2007-02-22
TW434698B (en) 2001-05-16
KR100582096B1 (ko) 2006-05-23
EP0976141A1 (de) 2000-02-02
WO1998047168A1 (en) 1998-10-22
JP4531138B2 (ja) 2010-08-25
KR20010006293A (ko) 2001-01-26
US6174450B1 (en) 2001-01-16
EP0976141B1 (de) 2007-01-10

Similar Documents

Publication Publication Date Title
DE69836857T2 (de) Gerät und verfahren zur steuerung der ionenenergie und plasmadichte in einer plasma-behandlungsvorrichtung
DE69734706T2 (de) Vorrichtungen zur phasendifferenzsteuerung in einem plasmabehandlungssystem
DE69723649T2 (de) Verfahren und gerät zur steuerung der reaktiven impedanzen eines, zwischen einer rf quelle und einem rf plasmareaktor geschalteten anpassungsschaltkreises
DE69630589T2 (de) Verfahren und vorrichtung zur plasmaerzeugung
DE60034321T2 (de) Plasmabearbeitungsverfahren und -reaktor mit regelung der rf-bias-leistung
DE112005003376B4 (de) Niederdruck-Entfernung von Photoresist und Ätzresten
DE60035971T2 (de) Verfahren und gerät zur kompensierung ungleichmässiger behandlung in plasma-behandlungskammern
JP2003529216A (ja) 複合セグメント電極に供給される電力を制御するための方法並びに装置
DE112010002708T5 (de) Verfahren und system zur steuerung einer rf-leistung
EP1273026A2 (de) Steuerung der plasma-energie durch das induzieren von plasma-instabilität
US20230298857A1 (en) Systems and Methods for Extracting Process Control Information from Radiofrequency Supply System of Plasma Processing System
DE69927780T2 (de) Plasmabearbeitungsgerät und Werkzeug zur Impedanzmessung
DE60130744T2 (de) Induktiv gekoppeltes Plasma-Ätzgerät mit aktiver Steuerung der RF-Spitzenspannung
EP1252652A1 (de) Verfahren zur überwachung eines herstellungsprozesses
EP1203396B1 (de) Verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
DE4443608C1 (de) Plasmareaktor und Verfahren zu dessen Betrieb
DE102014004098A1 (de) Wien-brückenoszillator und schaltungsanordnung zum regulieren einer verstimmung
Cheetham et al. Characterization and modeling of a helicon plasma source
Rauf et al. Controller design issues in the feedback control of radio frequency plasma processing reactors
TW569338B (en) Plasma processing method and device
DE10260614B4 (de) Plasmaparametersteuerung unter Verwendung von Lerndaten
CN216648218U (zh) 一种射频提供装置和等离子体处理设备
US20040003896A1 (en) Controller for plasma processing apparatus performing good etching process
US20230253185A1 (en) Systems and Methods for Radiofrequency Signal Generator-Based Control of Impedance Matching System
Kim et al. Control of plasma equipment by regulating radio frequency impedance matching

Legal Events

Date Code Title Description
8364 No opposition during term of opposition