JP4531138B2 - プラズマ加工処理システム及びプラズマ加工処理方法 - Google Patents

プラズマ加工処理システム及びプラズマ加工処理方法 Download PDF

Info

Publication number
JP4531138B2
JP4531138B2 JP54413998A JP54413998A JP4531138B2 JP 4531138 B2 JP4531138 B2 JP 4531138B2 JP 54413998 A JP54413998 A JP 54413998A JP 54413998 A JP54413998 A JP 54413998A JP 4531138 B2 JP4531138 B2 JP 4531138B2
Authority
JP
Japan
Prior art keywords
plasma
frequency power
power source
high frequency
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP54413998A
Other languages
English (en)
Other versions
JP2001524251A (ja
Inventor
パトリック・ロジャー
ウィリアムズ・ノーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001524251A publication Critical patent/JP2001524251A/ja
Application granted granted Critical
Publication of JP4531138B2 publication Critical patent/JP4531138B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Description

技術分野
本発明は、プラズマ加工処理システムに関し、特に、誘導性結合されたプラズマ加工処理システムにおけるイオンエネルギーの量および/またはプラズマ密度を制御するための方法と装置に関する。
背景技術
イオン化されたガス、またはプラズマは、半導体デバイスの加工処理と製造を通して一般的に使われている。例えば、プラズマは、半導体集積回路ウェハーをエッチングしたりまたは半導体集積回路ウェハーから原料を取り去ったり、さらに、半導体、導体または絶縁体の表面上に原料をスパッタリングしたり蒸着するために使用できる。製造または製造過程において使用するためのプラズマを発生させることは、一般的に、様々なプロセスガスを、ガスが集積回路ウェハーなどの加工物と接触するプラズマ反応装置内のプラズマチェンバーに導入することによって始まる。チェンバー内のガス粒子は、外部の電源からプラズマチェンバーに供給された高周波(rf)エネルギーによって、プラズマ中でイオン化される。加工処理の間に、プラズマおよびイオン化された粒子が、加工物と接触する。
プラズマチェンバーに加えられた高周波(rf)エネルギーは、その時、電子とイオンのさらなる生成を引き起こす個々のガス粒子に対して衝突する電子を加速する電界をもたらす。プラズマ反応装置内に電界をもたらすために、いくつかの方法がある。プラズマ加工処理システムの2種類の一般的なタイプが、容量性結合されたプラズマ加工処理システムと誘導性結合されたプラズマ加工処理システムである。
図1は、例えば、1997年マグロウヒル発行の「マイクロチップの製造」第3版(Van Zant,pp.267,268)に開示されるような、半導体デバイスの加工処理と製造に使用される典型的な容量性結合されたプラズマ加工処理システム10を例示している。図に示されるように、プラズマ加工処理システム10は、その中にプラズマチェンバー13を有するプラズマ反応装置12を備えている。プラズマ加工処理チェンバー13内には、コンデンサーを形成する2つの電極14aおよび14bが設けられている。電極14aはグラウンドに結合され、電極14bは整合ネットワーク18を介して電源16から高周波(rf)エネルギーを受け取るために接続されている。電源16がエネルギーを与えられるときには、高周波(rf)エネルギーは、電極14aと14bの間に成形された容量性回路に加えられる。もしその時、プラズマチェンバー13内にイオン化可能なガスが供給されるならば、高周波信号が加えられるときにプラズマ22が形成される。
プラズマ加工処理システム10は、ただ1つの電源16を備えているので、電源16により生成された高周波エネルギーの電力を増大させることは、電極14bとウェハー24においてプラズマの密度(すなわち、プラズマ密度)と直流(dc)バイアスを増大させる傾向がある。直流バイアスの増加は、通常、ウェハー24と接触しているイオン化された粒子のエネルギー(すなわち、イオンエネルギー)を増大させるプラズマシース26を横切る電位降下に対応した増加を引き起こす。
また、図2は、半導体デバイスの加工処理と製造のための従来の誘導性結合されたプラズマ加工処理システム30を示す図である。図2に示されるシステムは、米国特許第4,946,458及び5,571,366に開示されるタイプのものである。誘導性結合されたプラズマ加工処理システム30は、その中にプラズマチェンバー33を有するプラズマ反応装置32を含んでいる。図1のプラズマ加工処理システム10と違って、誘導性結合されたプラズマ加工処理システム30は、2つの電源34と36を含み、これらはプラズマチェンバー33内に発生されたプラズマに影響を与える。電源34は、プラズマ反応装置32内に置かれた電極である受け台(例えば、加工物ホルダー)40に、整合ネットワーク38を介して高周波(rf)エネルギー信号を供給するために設けられている。電源34の高周波(rf)エネルギーは、一般的に受け台40の一番上の表面44に置かれるウェハー42に直流バイアスを生じさせる電極40に供給される。
電源36は、プラズマチェンバー33の近くに配置されたコイル48に、整合ネットワーク46を介して高周波(rf)エネルギーを供給するために設けられている。窓50、例えばセラミックのプレートは、プラズマチェンバー33からコイル48を分離する。また、図に示されるように、一般的に、プラズマ反応装置32に対して、製造工程のために必要な最適な化学反応を供給するガス供給メカニズム52が設けられている。ガス排気メカニズム54は、プラズマチェンバー33内の粒子を取り去り、プラズマチェンバー33内に特定の気圧を維持する。結果として、電源36により生成された高周波(rf)エネルギーが、プラズマチェンバー33に供給されるイオン化可能なガスを伴うプラズマ56を発生する。
プラズマ放電における高周波(rf)電力の制御と供給は、プラズマ加工処理において基本的な重要性をもっている。プラズマチェンバーにおける実際の電力の量は、プロセス条件に大いに影響している。プラズマチェンバーに供給された実際の電力の大きな変動は、気圧、温度、そしてエッチング速度などの他のプロセス可変パラメーターの予期されている作用を変化させてしまう。
図1と図2において説明されるように、プラズマチェンバー内に前もって決定された高周波電力を得るための最も一般的に使われる方法は、電力回路内に整合ネットワークを備えることである。整合ネットワークは、プラズマ放電のインピーダンス(容量性のまたは誘導性のリアクタンス)を、本質的に、電源に対する実質的な抵抗負荷に変換する。単一のまたは複数の電源は、その時、要求されたプロセスパラメーターに依存する前もって決定された電力レベルに設定できる。
一例として、典型的な整合ネットワークは、整合させる構成要素として(低い方から高い方までの高周波のための)可変のコンデンサーおよび/またはインダクタ、さらには、(マイクロ波周波数での使用のための)可変のキャビティータップまたは整合スタブを含んでいる。整合ネットワークは、手動によってまたは自動的に調整できが、ほとんどの整合ネットワークは変化する負荷条件に対して自動的に適合する。
プラズマチェンバーに供給される高周波(rf)電力の量をさらに制御する努力において、典型的なプラズマ加工処理システムでは、単一のまたは複数の電源からの出力が、監視され、そして制御される。これは、通常、電源自身の出力において、ある程度、整合ネットワークでの電力損失を無視してよいという仮定に基づいている。
しかし、プラズマチェンバーに供給される高周波(rf)電力は、例えば整合ネットワーク自体における予期しない損失のために、実質的に高周波電源出力よりも少ないことが突き止められた。容量性結合されたプラズマ加工処理システムの整合ネットワークでの損失を明らかにするために、付加的な感知回路と制御回路が電力回路に付加された。例えば、米国特許第5175472、5474648、および5556549は、プラズマチェンバー内の要求された高周波電力レベルに達するために、電源の出力を調整する付加的なフィードバック制御ループ回路を提供するために、高周波センサーとコントローラの使用の種々の方法を開示している。
コイルに供給された高周波電力がプラズマ密度を制御し、受け台に供給された高周波電力が、(すなわち、直流バイアスを制御することによって)ウェハーと接触しているイオンのエネルギーを制御するという点で、2つの電源がお互いに独立であると、長い間信じられていたので、そのようなフィードバック技術は、誘導性結合されたプラズマ加工処理システムにおいて使われなかった。そのため、2つの電源を持つことによって、プロセス上の付加的な制御は、2つの電源の出力を独立に設定して、それらを開ループモードで(すなわち、フィードバックなしで)操作することによって本来提供されると想定されていた。
しかし、実際には、プラズマ密度とイオンエネルギーは、ソースとウェハー受け台に供給された電力の間で結合しているので、真に独立したものではない。この結合は、図3に示されており、例えば、それは、コイルに供給される高周波電力を設定する様々な高周波電力、およびプラズマチェンバーのトップとウェハーの表面の間の様々なギャップ(すなわち、4または6cm)にふさわしい、直流バイアスに対するウェハーに供給された高周波電力のグラフである。図3にプロットされたデータは、カリフォルニア、フレモントのラム・リサーチ・コーポレーションから入手できるTCP9600SE加工処理システムから収集された。図に示されるように、受け台に供給された高周波電力(すなわち、底部の電力)が増大する時に直流バイアスの大きさは増加する傾向がある。しかし、与えられた底部電力のために生じる直流バイアスは、また、コイルに供給される高周波電力(すなわち、TCP電力)に依存し、さらにある程度はギャップ間隔60に依存する。また、2つの高周波電源の間のこの結合は、ウェハーと接触しているイオンのエネルギーに反映している。従って、プラズマ密度がTCP電源によってもっぱら制御されるような場合、一方においてイオンエネルギーは、底部電源によって独占的に制御されることがない。
従って、誘導性結合されたプラズマ加工処理システムにおいて、プラズマ密度とイオンエネルギーをより効果的に制御するための方法と装置が必要とされている。
発明の概要
本発明の一実施例のとおり、プラズマ加工処理システムは、プラズマ反応装置、第1の電力回路、第2の電力回路、およびフィードバック回路を含んでいる。プラズマ反応装置内には、1つのプラズマ反応炉を有する。第1の電力回路は、プラズマチェンバー内の第1の高周波(rf)エネルギーを供給するために設けられている。第1の高周波エネルギーは、プラズマチェンバー内に置かれた加工物に直流バイアスを発生させるのに適したものである。第2の電力回路は、プラズマチェンバー内に第2の高周波エネルギーを供給するために設けられている。第2の高周波エネルギーは、プラズマチェンバー内で例えばプラズマチェンバーに供給されたガス分子と原子をイオン化してプラズマを打つのに適したものである。フィードバック回路は、第1の電力回路に結合され、第1の高周波エネルギーと関連した少なくとも1つのパラメーターを検出するために設けられている。フィードバック回路は、その時、検出されたパラメーターに基づくフィードバック制御信号を第1の電力回路に出力する。第1の電力回路は、このフィードバック制御信号にすぐに反応し、プラズマチェンバー内のイオン化された粒子のエネルギーレベルが第1の高周波エネルギーによって発生した直流バイアスを介して実質的に制御されるような第1の高周波エネルギーをプラズマチェンバーに供給する。
本発明の他の実施例において、第2の電力回路に結合された第2のフィードバック回路は、第2の高周波エネルギーと関連した少なくとも1つのパラメーターを検出する。第2のフィードバック回路は、パラメーターに基づく第2のフィードバック制御信号を第2の電力回路に出力する。第2の電力回路は、第2のフィードバック制御信号に反応して、プラズマチェンバー内のプラズマ密度のレベルが実質的に制御されるような第2の高周波エネルギーをプラズマチェンバーに供給する。
さらに、本発明の他の実施例のとおり、第1の電力回路および第2の電力回路を備えている誘導性結合されたプラズマ反応装置においては、制御回路は、イオンエネルギーとプラズマ密度を独立して制御する。この制御回路は、プラズマ反応装置内の電極に供給するとき、第1の電力回路からの出力信号の少なくとも1つのパラメーターの測定量に反応する。制御回路は、測定されたパラメーターと要求パラメーターレベルに基づいて第1の電力回路からの出力信号を調整する。従って、第1の電力回路の出力が、イオンエネルギーレベルを制御する直流(dc)バイアスを電極に発生させるので、イオンエネルギーは、第1の電力回路の出力の大きさを介して独立に制御される。さらに、プラズマ密度も、プラズマを発生させる第2の電力回路の出力の大きさをによって独立に制御される。
さらに、本発明の他の実施例のとおり、この方法は、第1のソースからプラズマ反応装置に第1の高周波(rf)エネルギーを供給すること、第1のソースからの第1の高周波エネルギーに応じて加工物上に直流バイアスを発生させること、第2のソースからプラズマ反応装置にエネルギーを供給すること、第2のソースからの高周波エネルギーによってプラズマチェンバー内にプラズマを打つこと、第1の高周波ソースがプラズマに供給するエネルギーに関わるパラメーターを検出すること、このパラメーターと要求パラメーターとを比較すること、および比較結果に基づいて、第1の高周波ソースによって設定されるように加工物と接触しているイオン化された粒子のエネルギーレベルが直流バイアスの大きさを介して実質的に制御されるように第1の高周波ソースの出力を修正することをさらに含んでいる。
さらに本発明の別の実施例のとおり、この方法は、第2のソースがプラズマに供給する高周波エネルギーの第2のパラメーターを検出すること、この第2のパラメーターを第2の要求パラメーターレベルと比較し、比較結果に基づいて、プラズマ反応装置内のプラズマ密度が実質的に制御されるように第2の高周波ソースを修正することを含んでいる。
本発明に関して割愛したことおよび本発明の他の特徴、様相、および利点は、添付図面を用いた本発明の以下の詳細な説明からより明白になる。
【図面の簡単な説明】
図1は、単一な電源を備えている従来の容量性結合されたプラズマ加工処理システムを説明するブロック図である。
図2は、2つの電源を備えている従来の誘導性結合されたプラズマ加工処理システムを説明するブロック図である。
図3は、図2のコイルに供給される電力と図2の加工物ホルダーに供給される電力の関数として直流(dc)バイアスレベルをグラフ化したものである。
図4aは、本発明の一実施形態における、フィードバック回路を備えることで、改善された誘導性結合されたプラズマ加工処理システムを説明するブロック図である。
図4bは、本発明の一実施形態における、2つのフィードバック回路を備えることで、改善された誘導性結合されたプラズマ加工処理システムを説明するブロック図である。
図5は、図4aおよび図4bの誘導性結合されたプラズマ加工処理システムにおいて使われ得る本発明の実施形態におけるフィードバック回路の1つの実施例を説明するブロック図である。
図6aは、図2に示されるような従来の誘導性結合されたプラズマ加工処理システムのプラズマチェンバーに供給された結果として生じている負荷電力を説明するグラフである。
図6bは、本発明の一実施形態における、図4aに示されるような改善された誘導性結合されたプラズマ加工処理システムのプラズマチェンバーに供給された結果として生じている負荷電力を説明するグラフである。
図7は、図2に示されるような従来の誘導性結合されたプラズマ加工処理システムにおいて電源から見た好適な整合ネットワークと処理チェンバー負荷を説明する簡素化された概略回路図である。
図8は、図6aおよび図6bにおいてプロットされたデータに相当している負荷抵抗と電力送り出し効率との関係を説明するグラフである。
好適な実施形態の詳細な説明
プラズマ処理は、例えば半導体ウェハーなどの加工物の処理結果を評価することによって、最終的に最適化され、そして特徴付けられる。この処理結果は、プラズマ処理パラメーターの関数として特徴付けることができ、その関数は、例えば高周波電力、プラズマガス気圧、温度、プラズマチェンバーのギャップ間隔、プラズマ形成に用いるガスのタイプ、そしてガス流量等である。上記のように、プラズマ処理のよりよい制御のために、処理チェンバーに実際供給される高周波電力の特性を制御することが望ましい。
本発明の様々な実施形態の方法と装置は、誘導性結合されたプラズマ加工処理システムにおけるプラズマ密度および/またはイオンエネルギー上の改善された制御を提供する。例えば、本発明によって、プラズマ密度とイオンエネルギーは、プラズマチェンバーに適用されるような電源のそれぞれの高周波電力の影響を本質的に結び付けない1つ以上のフィードバック制御回路によって独立して制御できる。
この考えをもって、図4aは、本発明の一実施形態に従って、バイアス電力回路の付加的なフィードバック回路100を除いて、図2に示されたプラズマ加工処理システムと同様な改善された誘導性結合されたプラズマ加工処理システム30’を説明するブロック図である。
図に示されるように、フィードバック回路100は、受け台40と電源34の間に連結され、整合ネットワーク38によって受け台40に供給された高周波信号の少なくとも1つのパラメーターを測定する。このパラメーターは、例えば、電流、電圧、電力、インピーダンス、および/または電力回路とプラズマ反応装置32内の受け台40と負荷に供給されたときの高周波信号に関連した位相角等を含んでいる。これらの感知されたパラメーターのうちの1つ以上に基づいて、例えば、供給された高周波電力または負荷インピーダンスが、測定され、および/または、派生される。
フィードバック回路100は、例えば受け台40に供給された高周波信号の電圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給された電力と要求された電力レベルとを比較するために設けられている論理回路および/またはコンピュータ処理回路を含んでいる。この比較結果は、例えばフィードバック制御信号として電源34に供給される。このフィードバック制御信号は、要求された電力レベルと一致するように、電源34の出力を調整する。
当業者ならば、他のパラメーターが監視されて、これらのパラメータが、同様に、受け台40に供給された高周波信号を調整するために使用できることを認識するだろう。一例としては、もしユーザーが、気圧、ガス供給量またはTCP電力などの他の処理パラメーターを変える場合に、固定したイオンエネルギーを維持したいならば、コントローラは、固定した実効値電圧レベルを受け台40に維持するために設定できる。これは、同様に、直流バイアスとイオンエネルギーを固定することに相当するであろう。
図4bは、本発明の別の実施例に従って、プラズマを発生する電力回路の第2のフィードバック回路102を除いて、図4aに示されたプラズマ加工処理システムと同様な改善された誘導性結合されたプラズマ加工処理システム30”を説明するブロック図である。
図に示されるように、フィードバック回路102は、コイル48と電源36の間に連結され、整合ネットワーク46によってコイル48に供給された高周波信号の少なくとも1つのパラメーターを測定する。フィードバック回路100と同様に、パラメーターは、例えば、電流、電圧、電力、インピーダンス、および/または電力回路とプラズマ反応装置32内のコイル48と負荷に供給された高周波エネルギーに関連した位相角等を含んでいる。これらの感知されたパラメーターのうちの1つ以上に基づいて、例えば、供給された高周波電力または負荷インピーダンスが計算される。
一例として、フィードバック回路102は、コイル48に加えられた高周波信号の電圧(例えば、実効値電圧)を監視し、供給された電力を計算し、供給された電力と要求された電力レベルとを比較するための論理回路および/またはコンピュータ処理回路を含んでいる。この比較結果は、例えばフィードバック制御信号として電源36に供給される。このフィードバック制御信号は、要求された電力レベルと一致するように、電源36の出力を調整する。
さらに、フィードバック回路100と102を、命令を実行するコンピュータと、このコンピュータを走らせる他のコンピュータのような一般的なコンピュータ処理回路に分けることができることが知られている。分けられたコンピュータ処理回路は、1つ以上のフィードバック制御信号を発生することにおいて、電源から、感知されたパラメーターのそれぞれだけでなく製造プロセスの状態を考慮するデータをさらに含むことができる。
図5は、例えば図4aおよび図4bにおいて、誘導性結合されたプラズマ加工処理システムの電源にフィードバック制御信号を供給するために使用されるフィードバック回路100’の一実施例を説明するブロック図である。フィードバック回路100’は、センサー104とコントローラ106を含んでいる。センサー104は、負荷に供給した高周波(rf)信号の1つ以上のパラメータ(例えば、ケーブル、コネクタ、受け台、コイル/共鳴器、プラズマ、ウェハー、または同類、およびこれらに関連した要素)を測定または検出し、感知されたパラメーター信号をコントローラ106に出力する。
好適な実施例におけるセンサー104は、コロラドのフォートコリンズにあるアドバンスト・エネルギー・コーポレーション(Advanced Energy Corporation)から入手できるRFZ60高周波プローブである。RFZ60を用いて、電力、電圧、および複素数インピーダンスが、順方向および反射方向の高周波のベクトル結合を表現する低レベルの信号から取り出される。センサーは、様々な知られている負荷、および(アドバンスト・エネルギー・コーポレーションから提供される)推定アルゴリズムを使って較正され、高調波はプローブ内のフィルタで抑圧される。
コントローラ106は、センサー104から、感知されたパラメーター信号を受け取り、フィードバック制御信号を電源(例えば、電源34または36)に供給する。コントローラ106は、さらに、電源に対するオペレーションの要求レベルを定義する要求パラメーターレベルの指示を受け取る。例えば、要求パラメーターレベルは、コントローラ106,或いは、コントローラ106に情報を供給する別の回路/デバイス(電源自身など)に対して直接ユーザーによって設定または信号入力される。コントローラ106は、例えば、プロセッサ、メモリー、および入出力メカニズムを含んでいるコンピュータシステムである。
好ましい実施例では、コントローラ106は、アドバンスト・エネルギー・コーポレーションから入手できるインテリジェントケーブルデバイスを含んでいる。インテリジェントケーブルデバイスは、RFZ60プローブと電源の電力制御回路に連結される。インテリジェントケーブルは、どのような残留計算でも完遂し、電源内の電力制御回路(図示せず)から受け取れるように、要求パラメーターレベルに基づくフィードバック制御信号を生成する。さらに、インテリジェントケーブルは、能力を監視および/または制御する付加的なパラメータを供給するために、パーソナルコンピュータ(PC)等のコンピュータシステムに連結される。
フィードバック回路100’無しでは、電力回路は、本質的に開ループモードで動作し、要求された電力が(例えば)マシンコンソールにおいて設定されて、電源は整合ネットワークと負荷にその量の電力を供給する。しかし、フィードバック回路100’を備えると、電力回路は、本質的に、要求された電力レベルが実際にセンサー104によって測定されたように負荷に加えることを保証するために、電源から供給された高周波電力、電圧および/または電流の量を制御する閉ループモードで動作する。
本発明の様々な実施例の利点は、ラムリサーチTCP9600SEシステムから収集されたデータのテスト結果を示す図6aおよび図6bにおいて明確に説明される。図6aのデータは、システムが、データを収集するために高周波プローブが使用されたことを例外として図2に例示するように構成され開ループモードで動作されたときに収集された。図6bのデータは、システムが、図4aと図5に従って配置された閉ループモードで動作されたときに収集された。
図6aに例示するような開ループモードでは、受け台に加えられた高周波電力の測定電圧は、電源34(バイアス電力)と電源36(TCP電力)からの出力の関数である。電源34によって生成された電圧は垂直軸に沿ってプロットされ、センサー104によって測定された実際の負荷電力は水平軸に沿ってプロットされている。この例において、バイアス電力のレンジは100ワットから500ワットまでであり、TCP電力のレンジは0ワットから800ワットまでであり、双方とも100ワット間隔でプロットされている。
図6bに例示するような閉ループモードでは、また、電源34によって生成された高周波信号の電圧が垂直軸に沿ってプロットされ、センサー104によって測定された実際の負荷電力が水平軸に沿ってプロットされている。また、この例では、バイアス電力のレンジは100ワットから500ワットまでであり、TCP電力のレンジは0ワットから800ワットまでであり、双方とも100ワット間隔でプロットされている。図に示されるように、供給された高周波電力は、今度は実質的に一定で、TCP電力から独立している。
この考えをもって、今や電力回路の効率が考慮される。負荷への電力供給の効率は、種々のシステムの間で製法を移す時に、かなりの関心と重要性をもっている。ネットワークを通る電力転送の効率は次のように定義される。
効率=(PL/PG) …(等式1)
ここで、PLは負荷に供給された電力であり、PGは電源から供給された電力である(ケーブルでの電力損失を無視し、反射電力が低いと仮定する)。例えば、PLとPGは、定義された間隔によってセンサーとコントローラにより測定されて、記録できる。
図7は、電源から見たときの好適な整合ネットワークと処理チェンバー負荷を説明する簡素化された概略回路200を示す図である。回路200は、整合抵抗RM202、整合インダクタンス204、整合連続静電容量206、負荷インピーダンス208、および電流(i)210を含んでいる。
従って、効率は次の式によって表すことができる。
効率=PL/(PL+PM
=(i2×RL)/{(i2×RL)+(i2×RM)}
=RL/(RL+RM) …(等式2)
ここで、PMは、整合ネットワークにおいて浪費された電力である。もし整合抵抗RMが一定であるなら、そのとき、等式1対RLから得られた効率のプロットは、RMの値が抽出できる等式2の簡単な形状を持っているべきである。RLが単一に0と等しいとき、RLがRMよりもずっと大きく、そしてプロットは化学反応、電力、気圧などから独立であり、整合ネットワークと負荷抵抗にだけ依存するときには、効率は0から上がる。もし整合ネットワークが異なる内部抵抗を持っているならば、整合ネットワークは同じ電源に対して異なる供給電力を引き起こす。それにもかかわらず、効率を知り、フィードバック回路を使うことによって、供給電力はより効果的に制御できる。
図8は、電力送出効率と図6aおよび図6bのデータに相当している負荷抵抗との関係を説明するグラフである。データは、上記等式2によって記述された曲線のまわりで接近してかたまりになっており、さらに、その効率はプラズマ負荷の大きさに従って変わることを例示している。従って、閉ループモードにおいては、供給電力が、要求された値に正確に設定できるのに対して、開ループモードでは、プラズマに実際に供給された電力は本質的に未知である。
さらに、図4bにおいて説明されたシステム構成(すなわち、2つのフィードバック回路)においては、整合ネットワーク、浮遊容量、インダクタンス、または電力回路と加工処理システムの他の要素が原因となる、効率におけるどのような損失からも独立な(理論的)ソースにおいて、供給電力を制御することができるという付加された利益がある。
本発明の一実施例のとおり、プラズマ反応装置のイオンエネルギーを制御するための方法方法は、(a)第1のソースからプラズマ反応装置に高周波エネルギーを供給すること、(b)連結された第1のソースからプラズマ反応装置内に置かれた加工物に高周波エネルギーによって直流バイアスを発生させること、(c)第2のソースからプラズマ反応装置に第2の高周波エネルギーを供給し、さらに、第2の高周波ソースからのエネルギーによってプラズマ反応装置内にプラズマを打つこと、(d)高周波センサーで高周波エネルギーのパラメーター、即ち、第1のソースからの高周波エネルギーが整合ネットワークによって生成されそして調節された後に検出されたパラメーターを検出すること、(e)この検出されたパラメーターと要求されたパラメーターレベルとを比較すること、さらに、(f)比較結果に基づいて、高周波信号によって設定されるように、加工物と接触しているイオン化された粒子のエネルギーレベルが直流バイアスの大きさを介して制御されるように第1のソースの高周波出力を修正することを含んでいる。
本発明の別の実施例のとおり、上記と同様な方法は、プラズマ密度を制御する。そのために、この同様な方法は、第2の高周波ソースによってプラズマに供給された高周波の第2のパラメーターを検出すること、この第2のパラメーターと第2の要求パラメーターレベルとを比較すること、および、この第2の比較結果に基づいて、プラズマ反応装置内のプラズマの密度が制御されるように第2の高周波ソースの出力を修正することを含んでいる。
本発明の方法と装置は、直流バイアスを発生させるための電源と、プラズマを発生させるための電源の、少なくとも2つの電源を備えている他のプラズマ加工処理システムに適用可能である。一例として、本発明は、誘導性結合プラズマ(Inductively Coupled Plasma:ICP)、変圧器結合プラズマ(Transformer Coupled Plasma:TCP)、電子サイクロトロン共鳴(Electroncyclotron:ECR)、およびヘリコンまたはヘリカルに共鳴しているプラズマ加工処理システムに適用可能である。

Claims (11)

  1. 加工物を処理するためのプラズマ加工処理システムであって、
    プラズマに変換されるイオン化可能なガスが供給されるように適合されたプラズマチェンバーと、
    前記プラズマチェンバー内に配置された、前記プラズマのイオンエネルギーレベルを制御するため、および加工物の保持のための電極と、
    前記電極に接続され、前記加工物に直流バイアスを発生するために前記電極とともに設けられ、前記加工物への直流バイアスおよび前記プラズマのイオンエネルギーレベルに影響を及ぼすためのパラメーターを含む第1の高周波電源と、
    前記プラズマを発生し、プラズマ密度を制御するためのコイルと、
    前記コイルに接続され、前記プラズマチェンバーで前記イオン化可能なガスを前記プラズマに励起するためおよび前記プラズマの密度を制御するために前記コイルとともに設けられた第2の高周波電源と、
    前記電極への前記第1の高周波電源の接続の結果としての前記加工物への直流バイアスおよび前記プラズマのイオンエネルギーレベルに影響を及ぼし、前記第1の高周波電源によって前記電極へ提供される少なくとも1つの電気的なパラメーターをモニターするためのセンサーを含み、前記モニターされた少なくとも1つの電気的なパラメーターおよび前記モニターされた少なくとも1つの電気的なパラメーターのための目標値によって決定されるフィードバック信号を引き出すため、並びに前記加工物への直流バイアスに影響を及ぼすための前記第1の高周波電源のパラメーターが前記目標値に制御され、前記プラズマチェンバー内のガス粒子のエネルギーレベルが前記直流バイアスによって制御され、かつ前記第2の高周波電源およびコイルの出力電圧に影響されないように、前記第1の高周波電源へ前記フィードバック信号を供給するために設けられたフィードバック回路とからなる。
  2. 前記少なくとも1つの電気的なパラメーターは、前記電極に供給された電力、電圧または電流のいずれかを含む請求項1に記載のプラズマ加工処理システム。
  3. 請求項1または2に記載のプラズマ加工処理システムにおいて、
    前記コイルおよび第2の高周波電源は、前記プラズマチェンバー内のプラズマのプラズマ密度を制御するために設けられ、
    前記第2の高周波電源およびコイルがプラズマに関して持つ影響の結果としての少なくとも1つの第2の電気的なパラメーターをモニターするため、並びに前記モニターされた少なくとも1つの第2の電気的なパラメーターおよび前記モニターされた少なくとも1つの第2の電気的なパラメーターのための目標値によって決定される第2のフィードバック信号を引き出すための第2のセンサーを含み、前記モニターされた少なくとも1つの第2の電気的なパラメーターが前記モニターされた少なくとも1つの第2の電気的なパラメーターのための目標値へ制御されるように、前記第2の高周波電源へ前記第2のフィードバック信号を供給するために設けられた第2のフィードバック回路をさらに含み、
    前記第2の高周波電源は、前記プラズマのプラズマ密度が当該第2の高周波電源の出力によって制御され、かつ前記第1の高周波電源および電極の出力電圧に影響されないように前記第2のフィードバック回路により制御される。
  4. 前記第2のフィードバック回路によってモニターされた前記少なくとも1つの第2の電気的なパラメーターは、前記コイルに供給された電力、電圧または電流のいずれかを含む請求項3に記載のプラズマ加工処理システム。
  5. 前記第1の高周波電源および電極間に接続され、前記第1の高周波電源の出力インピーダンスと前記電極およびプラズマを含む負荷との間のインピーダンス整合を達成する整合回路をさらに備えた請求項1乃至4のいずれかに記載のプラズマ加工処理システム。
  6. 前記第2の高周波電源およびコイル間に接続され、前記第2の高周波電源の出力インピーダンスと前記コイルおよびプラズマを含む負荷との間のインピーダンス整合を達成する第2の整合回路をさらに備えた請求項5に記載のプラズマ加工処理システム。
  7. プラズマ加工処理システムで加工物を処理する方法であって、
    前記プラズマ加工処理システムは、
    プラズマに変換されるイオン化可能なガスが供給されるプラズマチェンバーと、
    前記プラズマチェンバー内に配置された、前記プラズマのイオンエネルギーレベルを制御するため、および加工物の保持のための電極と、
    前記電極に接続され、前記電極とともに前記加工物に直流バイアスを発生し、前記加工物への直流バイアスおよび前記プラズマのイオンエネルギーレベルに影響を及ぼすためのパラメーターを含む第1の高周波電源と、
    前記プラズマを発生し、プラズマ密度を制御するためのコイルと、
    前記コイルに接続され、前記コイルとともに前記プラズマチェンバーで前記イオン化可能なガスを前記プラズマに励起し、前記プラズマの密度を制御する第2の高周波電源とからなり、
    前記方法は、
    前記電極への前記第1の高周波電源の接続の結果としての前記加工物への直流バイアスおよび前記プラズマのイオンエネルギーレベルに影響を及ぼし、前記電極への前記第1の高周波電源の供給によって提供される少なくとも1つの電気的なパラメーターをモニターし、
    前記モニターされた少なくとも1つの電気的なパラメーターおよび前記モニターされた少なくとも1つの電気的なパラメーターのための目標値によって決定されるフィードバック信号を引き出し、
    前記加工物への直流バイアスに影響を及ぼす前記第1の高周波電源のパラメーターが前記目標値によって制御され、前記プラズマチェンバー内のガス粒子のエネルギーレベルが前記直流バイアスによって制御され、かつ前記第2の高周波電源およびコイルの出力電圧に影響されないように、前記第1の高周波電源へ前記フィードバック信号を供給することを含む。
  8. 前記少なくとも1つの電気的なパラメーターは、前記電極に供給された電力、電圧または電流のいずれかを含む請求項7に記載のプラズマ加工処理方法。
  9. 請求項7または8に記載のプラズマ加工処理方法において、
    前記コイルおよび第2の高周波電源は、前記プラズマチェンバー内のプラズマのプラズマ密度を制御し、
    前記方法は、
    前記第2の高周波電源およびコイルがプラズマに関して持つ影響の結果としての少なくとも1つの第2の電気的なパラメーターをモニターし、
    前記少なくとも1つの第2の電気的なパラメーターおよび前記モニターされた少なくとも1つの第2の電気的なパラメーターのための目標値によって決定される第2のフィードバック信号を引き出し、
    前記モニターされた少なくとも1つの第2の電気的なパラメーターが前記モニターされた少なくとも1つの第2の電気的なパラメーターのための目標値へ制御され、前記プラズマのプラズマ密度が前記第2の高周波電源の出力によって制御され、かつ前記第1の高周波電源および電極の出力電圧に影響されないように、前記第2の高周波電源へ前記第2のフィードバック信号を供給することをさらに含む。
  10. 前記プラズマ加工処理システムは、前記第1の高周波電源および電極間に接続された整合回路をさらに備え、
    前記方法は、前記整合回路によって、前記第1の高周波電源の出力インピーダンスと前記電極およびプラズマを含む負荷との間のインピーダンス整合を達成することをさらに含む請求項7乃至9のいずれかに記載のプラズマ加工処理方法。
  11. 前記プラズマ加工処理システムは、前記第2の高周波電源およびコイル間に接続された第2の整合回路をさらに備え、
    前記方法は、前記第2の整合回路によって、前記第2の高周波電源の出力インピーダンスと前記コイルおよびプラズマを含む負荷との間のインピーダンス整合を達成することをさらに含む請求項10に記載のプラズマ加工処理方法
JP54413998A 1997-04-16 1998-04-15 プラズマ加工処理システム及びプラズマ加工処理方法 Expired - Lifetime JP4531138B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/843,476 US6174450B1 (en) 1997-04-16 1997-04-16 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US08/843,476 1997-04-16
PCT/US1998/007336 WO1998047168A1 (en) 1997-04-16 1998-04-15 Methods and apparatus for controlling ion energy and plasma density in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2001524251A JP2001524251A (ja) 2001-11-27
JP4531138B2 true JP4531138B2 (ja) 2010-08-25

Family

ID=25290097

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54413998A Expired - Lifetime JP4531138B2 (ja) 1997-04-16 1998-04-15 プラズマ加工処理システム及びプラズマ加工処理方法

Country Status (8)

Country Link
US (1) US6174450B1 (ja)
EP (1) EP0976141B1 (ja)
JP (1) JP4531138B2 (ja)
KR (1) KR100582096B1 (ja)
AU (1) AU7111298A (ja)
DE (1) DE69836857T2 (ja)
TW (1) TW434698B (ja)
WO (1) WO1998047168A1 (ja)

Families Citing this family (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3959200B2 (ja) * 1999-03-19 2007-08-15 株式会社東芝 半導体装置の製造装置
US6265831B1 (en) * 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP4865948B2 (ja) 1999-04-14 2012-02-01 サーフィス テクノロジー システムズ ピーエルシー プラズマを安定させる方法と装置
US6563076B1 (en) * 1999-09-30 2003-05-13 Lam Research Corporation Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6592710B1 (en) * 2001-04-12 2003-07-15 Lam Research Corporation Apparatus for controlling the voltage applied to an electrostatic shield used in a plasma generator
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
TW529085B (en) * 2000-09-22 2003-04-21 Alps Electric Co Ltd Method for evaluating performance of plasma treatment apparatus or performance confirming system of plasma treatment system
JP4666740B2 (ja) * 2000-10-06 2011-04-06 川崎マイクロエレクトロニクス株式会社 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
US7030045B2 (en) * 2000-11-07 2006-04-18 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US7019543B2 (en) * 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6714033B1 (en) * 2001-07-11 2004-03-30 Lam Research Corporation Probe for direct wafer potential measurements
WO2003036703A1 (en) * 2001-10-22 2003-05-01 Unaxis Usa, Inc. Process and apparatus for etching of thin, damage sensitive layers using high frequency pulsed plasma
US6727655B2 (en) * 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
US6713969B2 (en) * 2002-01-31 2004-03-30 Tokyo Electron Limited Method and apparatus for determination and control of plasma state
US6946847B2 (en) * 2002-02-08 2005-09-20 Daihen Corporation Impedance matching device provided with reactance-impedance table
AU2003247538A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
AU2003276946A1 (en) * 2002-09-23 2004-04-08 Turner Enterprises And Associates A system and method for monitoring harmonic content of an rf signal
US20040060660A1 (en) * 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7910013B2 (en) * 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7276135B2 (en) * 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
JP2007150012A (ja) * 2005-11-29 2007-06-14 Matsushita Electric Ind Co Ltd プラズマ処理装置および方法
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
KR101533473B1 (ko) * 2007-12-13 2015-07-02 램 리써치 코포레이션 플라즈마 비한정 센서 및 그의 방법
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US8044594B2 (en) * 2008-07-31 2011-10-25 Advanced Energy Industries, Inc. Power supply ignition system and method
JP2011530155A (ja) 2008-08-04 2011-12-15 エージーシー フラット グラス ノース アメリカ,インコーポレイテッド プラズマ源、及びプラズマ強化化学蒸着を利用して薄膜被覆を堆積させる方法
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
EP2219205B1 (en) 2009-02-17 2014-06-04 Solvix GmbH A power supply device for plasma processing
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP5342930B2 (ja) * 2009-05-25 2013-11-13 株式会社アルバック プラズマ処理装置、プラズマ処理方法
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
JP5632626B2 (ja) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP2012044045A (ja) * 2010-08-20 2012-03-01 Toshiba Corp 制御装置、プラズマ処理装置、及び制御方法
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8773019B2 (en) 2012-02-23 2014-07-08 Mks Instruments, Inc. Feedback control and coherency of multiple power supplies in radio frequency power delivery systems for pulsed mode schemes in thin film processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10821542B2 (en) * 2013-03-15 2020-11-03 Mks Instruments, Inc. Pulse synchronization by monitoring power in another frequency band
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
KR102272311B1 (ko) 2014-12-05 2021-07-06 에이쥐씨 글래스 유럽 중공형 음극 플라즈마 소스
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
BR112017011770A2 (pt) 2014-12-05 2017-12-26 Agc Flat Glass Na Inc fonte de plasma que utiliza um revestimento de redução de macro partícula e método de usar a fonte de plasma que utiliza um revestimento de redução de macro partícula para a deposição de revestimentos de filme fino e modificação de superfícies
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP6424120B2 (ja) * 2015-03-23 2018-11-14 東京エレクトロン株式会社 電源システム、プラズマ処理装置及び電源制御方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
MX2018007547A (es) * 2015-12-18 2019-05-09 Agc Flat Glass Na Inc Fuente de iones de catodo hueco y metodo de extraer y acelerar iones.
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10515781B1 (en) 2018-06-13 2019-12-24 Lam Research Corporation Direct drive RF circuit for substrate processing systems
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7450387B2 (ja) * 2019-12-27 2024-03-15 株式会社ダイヘン インピーダンス調整装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
WO1991009150A1 (en) * 1989-12-15 1991-06-27 Canon Kabushiki Kaisha Method of and device for plasma treatment
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor

Also Published As

Publication number Publication date
TW434698B (en) 2001-05-16
WO1998047168A1 (en) 1998-10-22
KR20010006293A (ko) 2001-01-26
KR100582096B1 (ko) 2006-05-23
EP0976141A1 (en) 2000-02-02
JP2001524251A (ja) 2001-11-27
US6174450B1 (en) 2001-01-16
AU7111298A (en) 1998-11-11
DE69836857T2 (de) 2007-07-05
EP0976141B1 (en) 2007-01-10
DE69836857D1 (de) 2007-02-22

Similar Documents

Publication Publication Date Title
JP4531138B2 (ja) プラズマ加工処理システム及びプラズマ加工処理方法
JP4897195B2 (ja) プラズマ処理方法、プラズマ処理装置およびプラズマ処理装置の製造方法
KR101813490B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 플라즈마 처리 장치의 제어 방법
JP6539113B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR101909571B1 (ko) 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
CN101552187B (zh) 等离子体处理装置和等离子体处理方法
US7190119B2 (en) Methods and apparatus for optimizing a substrate in a plasma processing system
US6974550B2 (en) Apparatus and method for controlling the voltage applied to an electrostatic shield used in a plasma generator
TW202107949A (zh) 用於主動調諧電漿功率源的方法及設備
US20060021580A1 (en) Plasma processing apparatus and impedance adjustment method
JP6404580B2 (ja) 電力制御モードのためのチャンバマッチング
US20120212135A1 (en) Control apparatus, plasma processing apparatus, method for controlling control apparatus
JP2010238730A (ja) プラズマ処理装置
US6954033B2 (en) Plasma processing apparatus
JP3923323B2 (ja) プラズマ処理装置及びプラズマ処理方法
Patrick et al. Application of direct bias control in high-density inductively coupled plasma etching equipment
CN112447479A (zh) 等离子体处理系统和等离子体点火辅助方法
JP3116762B2 (ja) プラズマエッチング装置
JP2003163200A (ja) プラズマ管理方法と管理装置およびプラズマ処理方法と処理装置
WO2022103765A1 (en) Systems and methods for radiofrequency signal generator-based control of impedance matching system
TW202338905A (zh) 用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備
JP2003142455A (ja) プラズマ処理装置及び処理方法
JP2017069209A (ja) プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080318

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080616

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080718

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090313

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090413

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090525

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090512

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091020

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100609

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term