TW202338905A - 用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備 - Google Patents

用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備 Download PDF

Info

Publication number
TW202338905A
TW202338905A TW111143539A TW111143539A TW202338905A TW 202338905 A TW202338905 A TW 202338905A TW 111143539 A TW111143539 A TW 111143539A TW 111143539 A TW111143539 A TW 111143539A TW 202338905 A TW202338905 A TW 202338905A
Authority
TW
Taiwan
Prior art keywords
electrode
voltage
substrate
substrate support
plasma processing
Prior art date
Application number
TW111143539A
Other languages
English (en)
Inventor
郭岳
卡堤克 拉馬斯瓦米
楊揚
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202338905A publication Critical patent/TW202338905A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency

Abstract

本揭露的實施例大體而言包括用於即時量測和控制在電漿處理期間在電漿處理腔室中的基板上形成的電位的設備和方法。本揭露的實施例包括一種電漿處理系統,該電漿處理系統包括基板支撐件,該基板支撐件設置在電漿處理系統的處理體積內,該基板支撐件包括基板支撐表面和設置在第一電極與基板支撐表面之間的介電層。該電漿處理系統進一步包括第一產生器,該第一產生器耦接至該電漿處理系統的第二電極;以及感測器,該感測器被設置為距基板支撐表面達第一距離。第一產生器被配置為在處理體積內產生電漿。該第一電極設置成距該基板支撐表面達第二距離,並且該第一距離小於該第二距離。該感測器通常被配置為偵測在電漿處理期間在基板上形成的電場強度和/或電壓。

Description

用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備
本揭露的實施例大體而言係關於在半導體元件製造中使用的系統和方法。更特定言之,本文所提供的實施例通常包括用於量測和控制在電漿處理期間施加到基板的偏壓的設備和方法。
可靠地生產高深寬比特徵是下一代半導體元件面臨的關鍵技術挑戰之一。一種形成高深寬比特徵的方法使用電漿輔助蝕刻製程,諸如反應性離子蝕刻(reactive ion etch, RIE)電漿製程,以在基板的材料層(諸如介電層)中形成高深寬比開口。在典型的RIE電漿製程中,在處理腔室中形成電漿,並且使來自電漿的離子朝向基板的表面加速以在設置在形成於該基板的該表面上的遮罩層下方的材料層中形成開口。
典型的反應性離子蝕刻(RIE)電漿處理腔室包括射頻(radio frequency, RF)偏壓產生器,其向功率電極,諸如位於「靜電卡盤」(electrostatic chuck, ESC)組件附近的金屬板,更通常地稱為「陰極」供應RF電壓。功率電極可以經由作為ESC組件的一部分的厚介電材料層(例如,陶瓷材料)電容耦合至處理系統的電漿。在電容耦合氣體放電中,電漿是藉由使用耦接至功率電極的射頻(RF)產生器或經由RF匹配網路(「RF匹配」)設置在ESC組件外部和處理腔室內的單獨功率電極產生的,該RF匹配網路將視在負載調諧至50Ω,以最小化反射功率並最大化功率輸送效率。將RF電壓施加到功率電極導致在基板的處理表面上方形成排斥電子的電漿鞘層,該處理表面在處理期間定位在ESC組件的基板支撐表面上。電漿鞘層的非線性、二極體樣特性導致所施加的RF場發生整流,使得在基板與電漿之間出現直流(direct-current, DC)電壓降或「自偏壓」,從而使基板電位相對於電漿電位為負。此種電壓降確定了電漿離子向基板加速並因此進行各向異性蝕刻的平均能量。更特別地,離子方向性、特徵輪廓以及對遮罩和停止層的蝕刻選擇性由離子能量分佈函數(Ion Energy Distribution Function, IEDF)控制。在具有RF偏壓的電漿中,IEDF通常具有兩個非離散峰,一個處於低能量,而另一個處於高能量;以及具有在兩個峰之間延伸的能量範圍的離子群。IEDF的兩個峰之間的離子群的存在反映了基板與電漿之間的電壓降在RF偏壓頻率下振盪的事實。當使用較低頻率的RF偏置產生器來實現較高的自偏置電壓時,該兩個峰之間的能量差異可導致製程相關問題,諸如在基板表面上形成的經蝕刻的特徵壁的彎曲。與高能離子相比,低能離子到達經蝕刻特徵的底部的角落處的效率較低(例如,由於充電效應),但是導致遮罩材料的濺射較少。此在高深寬比蝕刻應用,諸如硬遮罩開口或介電質模具蝕刻中是重要的。隨著特徵大小繼續減小和深寬比增加,與此同時特徵輪廓控制要求變得更加嚴格,更加期望在處理期間在基板表面處具有良好控制的基板偏壓以及因此IEDF。
已經發現,僅向電漿處理腔室中的電極中的一或多個電極輸送包含處於習知電漿產生偏壓位準的RF信號的正弦波形的習知RF電漿輔助蝕刻製程未充分或理想地控制鞘層特性和所產生的離子能量,此導致了非期望的電漿處理結果。非期望的處理結果可包括遮罩層的過度濺射和高深寬比特徵中側壁缺陷的產生。
此外,基板電位或電漿處理期間產生的自偏壓是用於確保可控和期望的電漿處理結果的關鍵參數。在基板的電漿處理期間基板電位的確定可用於改進在處理腔室中處理的基板和後續基板上實現的電漿處理結果。例如,即時確定基板電位可用於更好地控制由於施加到相鄰定位的偏置電極的波形的電容耦合而在基板處建立的實際偏置電壓並補償由於處理環境變化導致的基板電位的任何漂移。在其他實例中,基板電位的確定可用於電漿製程診斷和最佳化,以及用於電漿處理期間基板的靜電夾持和去夾持(de-chucking)控制。習知地,基板的電位只能藉由使用經驗模型來推斷,或者藉由使用有線非生產價值虛擬基板或使用離線非生產價值診斷過程測試方法的實驗探頭來實驗地量測。因此,使用習知製程,在包含生產基板的半導體元件的電漿處理期間,直接即時量測基板電位和基於該量測進行基板電位的即時控制是不可能的。
因此,本領域需要至少能夠解決上述問題的電漿處理裝置和偏壓方法。
本揭露的實施例包括一種電漿處理系統,該電漿處理系統包括基板支撐件,該基板支撐件設置在電漿處理系統的處理體積內,該基板支撐件包括基板支撐表面和設置在第一電極與基板支撐表面之間的介電層。該電漿處理系統進一步包括第一產生器,該第一產生器耦接至該電漿處理系統的第二電極;以及感測器,該感測器被設置為距基板支撐表面達第一距離。第一產生器被配置為在處理體積內產生電漿。該第一電極設置成距該基板支撐表面達第二距離,並且該第一距離小於該第二距離。該感測器通常被配置為偵測在電漿處理期間在基板上形成的電場強度和/或電壓。
本揭露的實施例包括一種電漿處理系統,該電漿處理系統包括基板支撐件,該基板支撐件設置在電漿處理系統的處理體積內,該基板支撐件包括基板支撐表面和設置在第一電極與基板支撐表面之間的介電層。該電漿處理系統亦包括至少一個感測器,該至少一個感測器設置為距該基板支撐表面達第一距離,其中該第一電極設置為距該基板支撐表面達第二距離,該第一距離和該第二距離是在第一方向上量測的,該第一距離小於該第二距離,並且該感測器被配置為偵測電場強度或電壓。
本揭露的實施例包括一種電漿處理系統,該電漿處理系統包括基板支撐件,該基板支撐件設置在該電漿處理系統的處理體積內,該基板支撐件包括基板支撐表面、設置在該基板支撐件中並且距該基板支撐表面達第一距離的第一電極,以及設置在該基板支撐表面與該第一電極之間的介電層。該電漿處理系統亦包括脈衝電壓(pulsed voltage, PV)波形產生器,該PV波形產生器耦接至該第一電極;射頻(RF)波形產生器,該RF波形產生器耦接至該電漿處理系統的該第二電極,其中該射頻(RF)波形產生器被配置為在該處理體積內產生電漿;以及感測器,該感測器被設置成距該基板支撐表面達第二距離。該第一距離和該第二距離可以在垂直於該基板支撐表面的第一方向上量測。該第二距離小於該第一距離,並且該感測器被配置為偵測電場強度或電壓。
本揭露的實施例包括一種用於夾持基板的方法,該方法包括在處理腔室的處理區域中產生電漿;將第一電壓波形施加到設置在基板支撐件中的第一電極以將該第一電壓波形電容耦合到設置在該基板支撐件的基板支撐表面上的基板,其中該基板支撐件設置在處理區域中;使用電場感測器量測在第一電極與基板支撐表面之間形成的電場或電壓的強度,以及基於電場或電壓的所量測強度改變該第一電壓波形。
本揭露的實施例大體而言係關於一種在半導體元件製造中使用的系統。更特定言之,本文所提供的實施例通常包括用於即時量測和控制在電漿處理期間在電漿處理腔室中的基板上形成的電位的設備和方法。
第1圖是電漿處理系統10的示意性剖視圖,該電漿處理系統被配置為執行本文所述的電漿處理方法中的一或多種電漿處理方法。在一些實施例中,電漿處理系統10被配置用於電漿輔助蝕刻製程,諸如反應性離子蝕刻(RIE)電漿處理。電漿處理系統10亦可在其他電漿輔助製程,諸如電漿增強沉積製程(例如電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)製程、電漿增強物理氣相沉積(plasma-enhanced physical vapor deposition, PEPVD)製程、電漿增強原子層沉積(plasma-enhanced atomic layer deposition, PEALD)製程、電漿處理製程、基於電漿的離子注入製程或電漿摻雜(plasma doping, PLAD)製程中使用。在一種配置中,如第1圖所示,電漿處理系統10被配置為形成電容耦合電漿(capacitively coupled plasma, CCP)。然而,在一些實施例中,電漿可替代地由設置在電漿處理系統10的處理區域上方的電感耦合源產生。在此種配置中,線圈可以放置在電漿處理系統10的陶瓷蓋(真空邊界)的頂部上。
電漿處理系統10包括處理腔室100、基板支撐組件136、氣體系統182、DC電源系統183、RF功率系統189、基板電位感測組件184和系統控制器126。處理腔室100包括腔室主體113,該腔室主體包括腔室蓋123、一或多個側壁122和腔室基底124。該腔室蓋123、一或多個側壁122和腔室基底124共同限定處理體積129。該一或多個側壁122和腔室基底124通常包含如此的材料,該等材料被定大小和成形以形成用於處理腔室100的元件的結構支撐件,並且被配置為承受在處理期間在處理腔室100的處理體積129中維持的真空環境內產生電漿101時施加至其的壓力和附加能量。基板103經由側壁122中的一個側壁中的開口(未圖示)被裝載到處理體積129中和從處理體積129中移除。在基板103的電漿處理期間,開口用狹縫閥(未圖示)密封。耦接至處理腔室100的處理體積129的氣體系統182包括處理氣體源119和穿過腔室蓋123設置的氣體入口128。該氣體入口128被配置為將一或多種處理氣體從複數個處理氣體源119輸送到處理體積129。
處理腔室100進一步包括設置在處理體積129中的上部電極(例如,腔室蓋123)和下部電極(例如,基板支撐組件136)。該上部電極和該下部電極定位成面向彼此。如第1圖中所見,在一個實施例中,射頻(RF)源電耦合到下部電極。RF源被配置為輸送RF信號以點燃和維持上部電極與下部電極之間的電漿(例如,電漿101)。在一些替代配置中,RF源亦可以電耦合至上部電極。例如,射頻源可以電耦合至腔室蓋。在另一個實例中,RF源亦可以電耦合至支撐板107。
基板支撐組件136包括基板支撐件105、基板支撐基底107、絕緣板111、接地板112、複數個升降銷186、一或多個基板電位感測組件184和偏置電極104。每個升降銷186穿過在基板支撐組件136中形成的通孔185設置,並且用於促進基板103至基板支撐件105的基板支撐表面105A和從該基板支撐件的基板支撐表面的轉移。基板支撐件105由介電材料形成。介電材料可包括塊狀燒結陶瓷材料、耐腐蝕金屬氧化物(例如,氧化鋁(Al 2O 3)、氧化鈦(TiO)、氧化釔(Y 2O 3)、金屬氮化物材料(例如氮化鋁(AlN)、氮化鈦(TiN))、其混合物或其組合。
基板支撐基底107由導電材料(例如鋁、鋁合金或不銹鋼合金)形成。基板支撐基底107藉由絕緣板111與腔室基底124電絕緣,並且接地板112插置在絕緣板111與腔室基底124之間。在一些實施例中,基板支撐基底107被配置為在基板處理期間調節基板支撐件105和設置在基板支撐件105上的基板103兩者的溫度。在一些實施例中,基板支撐基底107包括設置在其中的一或多個冷卻通道(未圖示),該一或多個冷卻通道流體耦合到冷卻劑源(未圖示)(諸如致冷劑源)或具有相對較高的電阻的基板源,並與該冷卻劑源或該基板源流體連通。在其他實施例中,基板支撐件105包括加熱器(未圖示)以加熱基板支撐件105和設置在基板支撐件105上的基板103。
偏置電極104嵌入在基板支撐件105的介電材料中。通常,偏置電極104由一或多個導電部分形成。導電部分通常包括網、箔、板或其組合。在此,偏置電極104用作用於將基板103緊固(例如,靜電夾持)到基板支撐件105的基板支撐表面105A的夾持極(亦即,靜電夾持電極)。通常,平行板狀結構由偏置電極104和設置在偏置電極104與基板支撐表面105A之間的介電材料層形成。介電材料的有效電容CE通常可介於約5 nF與約50 nF之間。通常,介電材料層(例如,氮化鋁(AlN)、氧化鋁(Al 2O 3)、等)的厚度介於約0.05 mm與約5 mm之間,諸如介於約0.1 mm與約3 mm之間,諸如介於約0.1 mm與約1 mm之間,或甚至介於約0.1 mm與約0.5 mm之間。偏置電極104電耦合到鉗位網路,該鉗位網路向該偏置電極提供夾持電壓。該鉗位網路包括DC電壓源173(例如,高壓DC電源),該DC電壓源耦接至濾波器178中的濾波器178A,該濾波器設置在DC電壓源173與偏置電極104之間。在一個實例中,濾波器178A是低通濾波器,其被配置為在電漿處理期間阻止由處理腔室100內的其他偏置部件提供的RF頻率和脈衝電壓(PV)波形信號到達DC電壓源173。在一種配置中,靜態DC電壓介於約-5000 V與約5000 V之間,並且是使用電導體(例如同軸電力輸送管線160)輸送的。在一些實施例中,偏置電極104亦可以使用下文進一步詳細描述的脈衝電壓偏壓方案中的一或多種脈衝電壓偏壓方案來使基板103相對於電漿101偏置。
在一些配置中,基板支撐組件136進一步包括邊緣控制電極115。該邊緣控制電極115由一或多個導電部分形成。導電部分通常包括網、箔、板或其組合。邊緣控制電極115定位在邊緣環114下方並且圍繞偏置電極104,和/或設置成距偏置電極104的中心一定距離。一般而言,對於被配置為處理圓形基板的處理腔室100,邊緣控制電極115是環形形狀的,由導電材料製成,並且被配置為圍繞偏置電極104的至少一部分。如在第1圖中所見,邊緣控制電極115定位在基板支撐件105的某一區域內,並藉由使用脈衝電壓(PV)波形產生器175進行偏置。在一種配置中,邊緣控制電極115是藉由使用不同於用於偏置電極104的PV波形產生器175的PV波形產生器進行偏置的。在另一種配置中,邊緣控制電極115是藉由將從PV波形產生器175提供的信號的一部分分離到偏置電極104進行偏置的。
DC電源系統183包括DC電壓源173、脈衝電壓(PV)波形產生器175和電流源177。RF功率系統189包括射頻(RF)波形產生器171、匹配器172和濾波器174。如前所述,DC電壓源173提供恆定的夾持電壓,而RF波形產生器171將RF信號輸送至處理區域,並且PV波形產生器175在偏置電極104處建立PV波形。將足夠量的RF功率施加到電極,諸如基板支撐基底107,導致在處理腔室100的處理區域129中形成電漿101。在一種配置中,RF波形的頻率範圍介於約10 MHz與約200 MHz之間。
在一些實施例中,電源系統183進一步包括濾波器組件178以電絕緣電源系統183內所包括的部件中的一或多個部件。如第1圖所示,電力輸送管線163將RF波形產生器171的輸出端電連接至阻抗匹配電路172、RF濾波器174和基板支撐基底107。電力輸送管線160將電壓源173的輸出端電連接到濾波器組件178。電力輸送管線161將PV波形產生器175的輸出端電連接至濾波器組件178。電力輸送管線162將電流源177的輸出端連接至濾波器組件178。在一些實施例中,電流源177藉由使用設置在輸送管線162中的開關(未圖示)選擇性地耦接至偏置電極104,以允許在由PV波形產生器175產生的電壓波形的一或多個階段(例如,離子電流階段)期間電流源177將期望的電流輸送至偏置電極104。如第1圖中所見,濾波器組件178可包括多個單獨的濾波部件(亦即,分立的濾波器178A至178C),每個濾波部件都經由電力輸送管線164電耦合至輸出節點。電力輸送管線160至164包括電導體,該等電導體包括同軸電纜的組合,該等同軸電纜為例如與剛性同軸電纜串聯連接的可撓性同軸電纜、絕緣高壓抗電暈安裝線(hookup wire)、裸線、金屬棒、電連接器、或上述的任意組合。
基板電位感測組件184包括一或多個感測器176和信號偵測組件188。基板電位感測組件184經由通訊線路165通訊耦合至系統控制器126。信號偵測組件188通常包括被配置為從感測器176接收信號並形成可由系統控制器126使用的輸出信號的部件。系統控制器126隨後可以使用所接收到的輸出信號來顯示由感測器176執行的量測的結果和/或控制處理腔室100的某一部分或在該處理腔室中執行的製程。一或多個感測器176經由一或多個通訊線路158耦接至信號偵測組件188。如在第5A圖、第6圖、第7圖和第8圖中進一步解釋的,該一或多條通訊線路158包括各種不同的通訊構件,包括光纖電纜、同軸電纜和/或雙絞線電纜。
基板電位感測組件184包括信號偵測組件188和感測器176。如下面進一步詳細解釋的,信號偵測組件188包括多個不同的實施例,該等實施例都向系統控制器126提供反饋。感測器176偵測到的感測參數的變化被使用從該感測器176提供的感測信號傳送到信號偵測組件188。該信號偵測組件188接收感測信號,並隨後將該信號中繼到系統控制器126。系統控制器126隨後使用從基板電位感測組件184接收到的輸入來改變一或多個電漿處理變數,諸如改變由PV波形產生器175產生的PV波形的特性,和/或從電流源177提供到偏置電極104的電流量。
系統控制器126,在本文中亦稱為處理腔室控制器,包括中央處理單元(central processing unit, CPU) 133、記憶體134和支援電路135。系統控制器126用於控制用於處理基板103的處理順序。CPU是通用電腦處理器,其被配置為在工業環境中使用,用於控制處理腔室和與其相關的子處理器。本文所述的記憶體134通常是非揮發性記憶體,可包括隨機存取記憶體、唯讀記憶體、硬碟驅動器或其他合適形式的本端或遠端數位儲存裝置。支援電路135通常耦接至CPU 133並且包括快取、時鐘電路、輸入/輸出子系統、電源等及其組合。軟體指令(程式)和資料可以被編碼並儲存在記憶體134內以用於指示CPU 133內的處理器。系統控制器126中的CPU 133可讀的軟體程式(或電腦指令)確定哪些任務是電漿處理系統10中的部件可執行的。
通常,系統控制器126中的CPU 133可讀取的程式包括代碼,該代碼當由CPU 133執行時執行與本文所述的電漿處理方案相關的任務。該程式可包括用於控制電漿處理系統10內的各種硬體和電子部件執行用於實施本文所述的方法的各種製程任務和各種製程序列的指令。在一個實施例中,該程式包括用於執行下面關於第9圖和第10圖所述的操作中的一或多個操作的指令。
第2圖是基板支撐組件136的基板支撐件105的基板支撐表面105A的俯視等距視圖。基板支撐組件136可包括一或多個感測器176、用於支撐複數個升降銷186的升降銷支撐結構282(亦即,升降銷箍)、和升降銷致動器281。基板支撐件105包括複數個通孔185,該複數個通孔與該複數個升降銷186對準,該複數個升降銷186穿過該複數個通孔。升降銷箍結構282位於基板支撐組件136下方。在使用期間,該複數個升降銷186行進穿過基板支撐件105和基板支撐基底107中的銷通孔185以將基板103提升離開基板支撐表面105A。通常,升降銷186將基板103提升離開基板支撐件105,以將該基板轉移到處理腔室100和從該處理腔室轉移出。
如第2圖中所見,一或多個感測器176經定位以量測在電漿處理期間設置在基板支撐表面105A上的基板103的電位。第3A圖是根據一個實施例的基板支撐組件136的側剖視圖,該側剖視圖是藉由沿著第2圖中所示的剖面線3-3剖切基板支撐組件136而形成的。如第3A圖中所見,基板電位感測組件184的信號偵測組件188耦接至一或多個感測器176中的一個感測器。在一個實施例中,該一或多個感測器176設置在基板支撐件105內並且定位於與基板支撐表面105A相同的平面上。在另一實施例中,一或多個感測器176定位於基板支撐表面105A下方一定距離處,該距離可在垂直於基板支撐表面105A的方向上量測。感測器176通常可設置為距基板支撐表面105A達第一距離D 1,其中該第一距離D 1距該基板支撐表面105A介於0 mm與5 mm之間,諸如小於2 mm,或距該基板支撐表面105A介於0.1 mm與1 mm之間,或甚至距該基板支撐表面105A介於0.1 mm與0.5 mm之間。偏置電極104設置為距基板支撐表面105A達第二距離D 2,使得在一些實施例中,第一距離D 1小於第二距離D 2。在一些實施例中,感測器176設置在偏置電極104與基板支撐表面105A之間的空間中。在一些應用中,感測器176放置在偏置電極104與基板支撐基底107的頂表面之間的空間中。在一些實施例中,存在設置在不同高度處的多個感測器。例如,第一感測器定位於偏置電極104與基板支撐表面105A之間,並且第二感測器定位於偏置電極104與基板支撐基底107的頂表面之間。
第3B圖圖示了根據一個實施例的第3A圖中所示的基板支撐組件136的剖視圖的一部分。如第3B圖所示,基板電位感測組件184包括感測器176,該感測器定位在升降銷186上以量測電漿處理期間的基板電位。如第3B圖中所見,感測器176經由通訊線路158透過升降銷186通訊耦合到信號偵測組件188,並且在電漿處理期間定位在升降銷186的面向基板端上,距基板支撐表面105A達第一距離D 1。在一些實施例中,升降銷186由介電材料形成或包括電絕緣區域(例如,包括介電質塗層或絕緣體),該電絕緣區域允許從感測器176並沿著通訊線路158提供的電信號到達信號偵測組件188,而沒有明顯的信號損失。
第4A圖圖示了根據一或多個實施例,在電漿處理期間由於將PV波形輸送至偏置電極104而在設置在基板接收表面105A上的基板103處建立的電壓波形的實例。波形425是在基板103處建立的非補償波形的實例。波形430是在基板處建立的補償波形的實例。第4B圖圖示了根據一或多個實施例施加到處理腔室的偏置電極104的脈衝電壓(PV)波形的實例。在一些實施例中,波形441包括PV波形,其包括第一部分(例如,離子電流部分445),該第一部分包括負斜率(例如,每單位時間的電壓),該第一部分在PV波形的離子電流階段期間被輸送至電極104,以在基板處形成補償波形,如將在下面進一步論述。
波形425、430和441通常包括兩個主要階段:離子電流階段和鞘層塌縮階段。在基板103處建立的波形425和430的離子電流階段部分和鞘層塌縮階段部分都圖示在第4A圖中。在離子電流階段開始處,由於PV波形產生器175提供給偏置電極104的PV波形的負部分(例如,離子電流部分445)的輸送,在基板103處創建了電壓降,該電壓降在基板103上方創建高壓鞘層。高壓鞘層允許使電漿產生的正離子朝向偏置的基板加速。隨著更多的正離子轟擊基板的表面,一定量的正電荷隨時間推移在基板103的表面上累積。基板表面上正電荷的增加逐漸增加了基板的電壓,或「基板電位」。如第4A圖中所見,波形425從離子電流階段開始處的較負電壓逐漸且不合期望地增加到離子電流階段的後期部分期間的較不負的電壓。若不受控制,則正電荷在基板表面上的逐漸累積會導致高壓鞘層和卡盤電容的逐漸放電,從而緩慢降低鞘層電壓並使基板電位更接近零。離子電流階段開始與結束之間的電壓差確定了離子能量分佈函數(ion energy distribution function, IEDF)的寬度。電壓差越大,則IEDF寬度越寬,出於多種原因此是不合期望的,如上所論述。為了實現單能離子和更窄的IEDF寬度,執行操作以補償離子電流階段期間不斷變化的基板電位,並創建實質上平坦形狀的區域(例如,接近零斜率),諸如由波形430的離子電流階段部分所示。為了在基板處建立的電壓波形中建立實質上平坦形狀的區域,可以將在離子電流階段(亦即,第4B圖中存在的離子電流部分445)期間包括負斜率的波形441輸送至偏置電極104。在偏置電極104處驅動和/或實施負電壓斜率亦被稱為電流補償,其可以藉由使用耦接至偏置電極104的電流源177來創建。在離子電流部分445期間實施的負電壓斜率是藉由以下方式創建的:增加提供給偏置電極104的電子的量,以抵消其他情況下由進入離子引起的累積正電荷所導致的增加的場。因此,藉由使用基板電位感測組件184的感測器176偵測在基板103處建立的電壓波形的實際斜率(dV/dt),系統控制器126可以調整電流源177所提供的電流和/或改變由PV波形產生器175產生的PV波形的特性,從而在基板103處建立的波形的整個離子電流階段維持恆定的鞘層電位。在一些實施例中,DC供應電流用於在離子電流階段期間實施具有期望斜率的斜坡。因此,本文所提供的基板電位感測組件184的實施例中的一或多個實施例可用於偵測和補償所產生的離子電流(I 離子),該離子電流將隨著電漿處理製程參數(例如,脈衝波形偏置電壓、壓力等)的變化而變化。
第5A圖是根據一個實施例的包括基板電位感測組件184的電漿處理系統的基板支撐組件的示意性剖視圖。如前所述,基板電位感測組件184包括信號偵測組件188和一或多個感測器176。在此,一或多個感測器176包括一或多個光纖感測器550,並且信號偵測組件188包括經由一或多個光纖512通訊地耦接至該一或多個光纖感測器550的光纖信號偵測組件525。如上文關於第2圖和第3A圖至第3B圖中所示的感測器176類似論述的,光纖感測器550可分佈在基板支撐表面105A上和/或定位在一或多個升降銷186上。光纖感測器550亦可以定位在距基板支撐表面105A達第一距離D 1處。光纖信號偵測組件525被配置為從光纖感測器550接收感測信號,且隨後將該信號中繼或調節並中繼到系統控制器126。系統控制器126隨後使用從光纖信號偵測組件525接收的輸入來改變一或多個電漿處理變數,諸如改變由PV波形產生器175產生的PV波形的特性,和/或從電流源177提供到偏置電極104的電流量。
第5B圖圖示了光纖信號偵測組件525的實例。光纖信號偵測組件525包括雷射器510和光電偵測器511,該雷射器和光電偵測器藉由使用光纖512光學耦合到一或多個光纖感測器550(例如,晶體)。該一或多個光纖512包括第一光纖513和第二光纖514。雷射器510經由第一光纖電纜513耦接至光纖感測器550。光纖感測器550經由第二光纖電纜514耦接至光電偵測器511。光纖感測器550位於基板103與偏置電極104之間,例如第一距離D 1處。光纖感測器550被配置為量測在電漿處理期間在基板103與偏置電極104之間創建的電場。
光纖感測器550包括電光(electro-optic, EO)效應感測元件(例如,晶體),該EO效應感測元件被配置為偵測穿過其中的電場的變化,該電場為諸如在電場電漿處理期間在基板103與偏置電極104之間創建的電場。在一個實施例中,電光(EO)效應感測元件包括使用普克爾效應的晶體,其中該晶體的雙折射率與施加到光纖感測器550內的光學晶體的電場成比例地變化。由於電場的變化對穿過其中的由EO效應感測元件和雷射器510產生的光的影響,所以電場的任何變化都將導致光電探測器511接收到的光的特性發生變化。與光電偵測器511所接收到的光的特性變化相關聯的值可以隨後被中繼到系統控制器126,以確定在基板上形成的電壓以及是否需要調整電流補償和/或脈衝波形參數。在一些實施例中,先進的聚合物光學感測器探頭或平板耦合光學感測器可用作感測器探頭。
第6圖是根據一個實施例的包括基板電位感測組件184的電漿處理系統的基板支撐組件的示意性剖視圖。如前所述,基板電位感測組件184包括信號偵測組件188和一或多個感測器176。在此,信號偵測組件188包括導數(D點)電場感測組件605,並且一或多個感測器176包括一或多個D點感測器650。如上文關於第2圖和第3A圖至第3B圖中所示的感測器176類似論述的,D點感測器650可分佈在基板支撐表面105A上和/或定位在一或多個升降銷186上。D點感測器650亦可以定位在距基板支撐表面105A達第一距離D 1處。導數(D點)電場感測組件605經由通訊線路612通訊耦合至一或多個D點感測器650。
D點感測器650是量測電磁位移隨時間推移的變化率的高頻電場感測器。通常,D點感測器包含介電材料,該介電材料的相對介電常數隨RF頻率而變化。當被浸入在電漿處理期間基板103所經歷的隨時間變化的電磁場時,D點電場感測器產生小輸出電壓。由於D點感測器650相對於基板103的位置,所以由D點感測器650偵測到的感測參數(例如,電場)的變化被傳送到D點電場感測組件605。D點電場感測組件605接收感測信號,並將該信號中繼或調節並中繼到系統控制器126。系統控制器126隨後使用從D點電場感測組件605接收的輸入來改變一或多個電漿處理變數,諸如改變由PV波形產生器175產生的PV波形的特性,和/或從電流源177提供到偏置電極104電流量。
第7圖是根據一個實施例的包括基板電位感測組件184的電漿處理系統的基板支撐組件的示意性剖視圖。如前所述,基板電位感測組件184包括信號偵測組件188和感測器176。在此,信號偵測組件188包括MOSFET元件感測組件740。感測器176包括MOSFET 720、濾波器710和探頭750。探頭750經由通訊線路705通訊耦合到濾波器,並經由通訊線路703通訊耦合到MOSFET。濾波器710防止RF和/或脈衝電壓信號負面地影響MOSFET 720所執行的感測功能。
MOSFET 720是用作開關的場效應電晶體,其被配置為基於耦接至MOSFET 720的閘極的探頭750所接收到的電壓量在打開狀態與關閉狀態之間切換。如上文關於第2圖和第3A圖至第3B圖中所示的感測器176的類似論述的,一或多個探頭750可分佈在基板支撐表面105A上和/或定位在一或多個升降銷186上,以便在電漿處理期間偵測基板103的電壓並將該電壓傳輸到MOSFET 720的閘極。探頭750亦可以定位在距基板支撐表面105A達第一距離D 1處。由探頭750感測並施加到閘極的電壓的施加將打開或關閉MOSFET的通道區域,並由此控制由於電源(未圖示)在源極與汲極之間施加的單獨偏壓而在源極與汲極之間流動的電流。需要經由通訊線路703施加的閾值電壓來導通MOSFET元件,並且由此MOSFET 720被配置為具有基於待由探頭750偵測的所需電壓的所需閘極閾值電壓V t。閾值電壓是允許電荷經由MOSFET元件的通道傳導所必須施加的最小閘極至源極電壓。由MOSFET 720偵測到的感測參數(例如,基板電壓)的變化被轉換為1和0,或MOSFET 720的「開」和「關」狀態,該等狀態由MOSFET元件感測組件740偵測。MOSFET 720的「開」和「關」狀態用於確定基板電壓是大於還是小於已知值,並且MOSFET元件感測組件740將「開」和「關」狀態資訊傳輸到系統控制器126。系統控制器126隨後使用從MOSFET裝置感測組件740接收到的輸入來改變一或多個電漿處理變數,諸如改變由PV波形產生器175產生的PV波形的特性,和/或從電流源177提供到偏置電極104的電流量。在一些實施例中,包括MOSFET感測器720的基板電位感測組件184可進一步包括連接至探頭750的複數個不同配置的MOSFET元件720,並且該等MOSFET元件720中的每個MOSFET元件被配置為具有不同的閘極閾值電壓V t,使得不同的基板電壓位準可以被該等不同配置的MOSFET偵測到並且由系統控制器126用來控制電漿處理變數中的一或多個電漿處理變數。
第8圖是根據一個實施例的包括基板電位感測組件184的電漿處理系統的基板支撐組件的示意性剖視圖。如前所述,基板電位感測組件184包括信號偵測組件188和感測器176。在此,信號偵測組件188包括變容二極體感測組件840,並且感測器176包括變容二極體820和探頭850。如上文關於第2圖和第3A圖至第3B圖中所示的感測器176的類似論述的,一或多個探頭850可分佈在基板支撐表面105A上和/或定位在一或多個升降銷186上,以便在電漿處理期間偵測基板103的電壓並將該電壓傳輸到變容二極體820。探頭850亦可以定位在距基板支撐表面105A達第一距離D 1處。探頭850經由通訊線路815通訊耦合到變容二極體820。變容二極體820經由通訊線路813通訊耦合到濾波器810。濾波器810經由通訊線路811通訊耦合到變容二極體感測組件840。濾波器810防止RF和/或脈衝電壓信號負面地影響由濾波器810執行的感測功能,防止電壓反饋負面地影響變容二極體感測組件840。
變容二極體820是電壓依賴性半導體元件,其具有基於變化的反向偏置電壓的量而變化的內部電容,該變化的反向偏置電壓是藉由耦合到探頭850的通訊線路815施加到變容二極體820的。當施加反向偏置電壓時,變容二極體820的二極體部分中的耗盡區域的寬度增加並且電容減小。因此,增加反向偏置電壓會增加變容二極體820的電容,而降低反向偏置電壓會降低變容二極體820的電容。由變容二極體820偵測到的感測參數(例如,基板電壓)的變化被傳輸到變容二極體感測組件840。變容二極體感測組件840接收由變容二極體820提供的感測信號,並將該信號中繼到系統控制器126。系統控制器126隨後使用從變容二極體感測組件840接收到的輸入來改變一或多個電漿處理變數,諸如改變由PV波形產生器175產生的PV波形的特性,和/或從電流源177提供到偏置電極104的電流量。 處理方法實例
第9圖是圖示用於電漿處理腔室中基板的即時晶圓電位量測的方法的圖。方法900包括將電壓波形提供至偏置電極104;監測在基板103上建立的電壓波形的電氣特性;基於該電氣特性形成參數集;基於該參數集產生補償電流;以及在電壓波形循環的一部分期間將該補償電流輸送至偏置電極104。
在活動902處,方法900包括將電壓波形提供至偏置電極104。該電壓波形是由波形產生器產生的,並且經由通訊線路提供至偏置電極104。在一些實施例中,電壓波形可以是類似於第4B圖中所示的波形441的脈衝電壓波形。該方法進一步包括至少部分地由於從RF功率系統189的RF波形產生器171提供的RF信號被輸送至處理腔室100內的電極而在處理腔室100中形成電漿101。
在活動904處,方法900包括偵測感測參數。在一些實施例中,感測參數包括基板103的一或多種電氣特性,諸如在電漿處理期間在基板103處即時建立的電壓斜率和電壓幅值。
在一種配置中,該一或多種特性是使用第5圖的基板電位感測組件184量測的。在此種實例中,在脈衝電壓波形的離子電流階段期間在基板103處建立的電壓波形的斜率是藉由以下方式來偵測的:使用被設置成距基板支撐表面105A第一距離D 1的一或多個光纖感測器550,感測在基板103與偏置電極104之間形成的電場的變化率。在另一種配置中,該一或多種特性是使用第6圖的基板電位感測組件184量測的,該基板電位感測組件包括一或多個D點電場感測器650和D點電場感測組件605。在又一配置中,該一或多種特性是使用第7圖的基板電位感測組件184量測的,該基板電位感測組件包括MOSFET元件感測組件和MOSFET 720。在又一配置中,該一或多種特性是使用第8圖的基板電位感測組件184量測的,該基板電位感測組件包括變容二極體感測組件840和變容二極體820。
在活動906處,方法900包括監測和分析由基板電位感測組件184偵測到的感測參數的變化。使用從一或多個感測器176提供的感測信號將由一或多個感測器176偵測到的感測參數的變化傳輸到信號偵測組件188。該信號偵測組件188接收感測信號,並將該信號中繼到系統控制器126。在方法900的一些實施例中,系統控制器126將所偵測到的感測參數與儲存在系統控制器126的記憶體中的資訊進行比較,以確定補償在所建立的脈衝波形的離子電流階段期間電漿產生的離子電流所需的期望校正量。所儲存的資訊可包括等式或查找表,該等式或查找表被配置為基於當前感測參數值相對於期望感測參數值提供校正量(例如,誤差量)。在一個實例中,感測參數是電場強度隨時間推移的變化(亦即,斜率),其與基板電壓隨時間推移的變化成比例,該基板電壓隨時間推移的變化是藉由使用光纖感測器550和光纖信號偵測組件525量測的。系統控制器126隨後使用從信號偵測組件188接收到的電壓隨時間推移的變化輸入來產生控制信號並將該控制信號輸送至電流源177,使得電流源177將改變提供至偏置電極104的電流量。
在活動908處,方法900包括由電流源177基於在活動906中執行的分析和在由系統控制器126提供的控制信號內提供的參數集產生補償電流。
在活動910處,方法900包括在電壓波形(諸如第4A圖中所示的電壓波形)的離子電流階段期間將補償電流輸送至偏置電極。在一個實例中,在脈衝波形441的離子電流階段期間在偏置電極104處建立負電壓斜率,以補償由光纖信號偵測組件525的一或多個光纖感測器550感測的電場的所偵測變化。
第10圖是圖示用於電漿處理腔室中基板的即時晶圓電位量測的方法的圖。方法1000包括將脈衝電壓波形和夾持電壓提供至偏置電極;形成感測參數;監測偏置電極與基板之間的感測參數變化;以及基於感測參數的變化改變脈衝電壓波形和/或夾持電壓。
在活動1002處,方法1000包括將電壓波形提供至偏置電極104。該電壓波形是由波形產生器產生的,並且經由通訊線路提供至偏置電極104。在一些實施例中,電壓波形可以是類似於第4B圖中所示的波形441的脈衝電壓波形。該方法1000進一步包括至少部分地由於從RF功率系統189的RF波形產生器171提供的RF信號被輸送至處理腔室100內的電極而在處理腔室100中形成電漿101。
在活動1004處,方法1000包括偵測感測參數。在一些實施例中,感測參數包括基板103的一或多種電氣特性,諸如在電漿處理期間在基板103處即時建立的電壓斜率或電壓幅值。
在一種配置中,該一或多種特性是使用第5圖的基板電位感測組件184量測的,該基板電位感測組件包括一或多個光纖感測器550和光纖信號偵測組件525。在另一種配置中,該一或多種特性是使用第6圖的基板電位感測組件184量測的,該基板電位感測組件包括一或多個D點電場感測器650和D點電場感測組件605。在另一種配置中,該一或多種特性是使用第7圖的基板電位感測組件184量測的,該基板電位感測組件包括MOSFET元件感測組件和MOSFET 720。在又一配置中,該一或多種特性是使用第8圖的基板電位感測組件184量測的,該基板電位感測組件包括變容二極體感測組件840和變容二極體820。
在活動1006處,方法1000包括監測和分析由基板電位感測組件184偵測到的感測參數的變化。在一些實施例中,基板電位感測組件184的感測器176設置在偏置電極104與基板103之間。使用從一或多個感測器176提供的感測信號將由一或多個感測器176偵測到的感測參數的變化傳輸到信號偵測組件188。信號偵測組件188接收感測信號,並將該信號中繼或調節並中繼到系統控制器126。在方法900的一些實施例中,系統控制器126將所偵測到的感測參數與儲存在系統控制器126的記憶體中的資訊進行比較以確定期望校正量。所儲存的資訊可包括等式或查找表,該等式或查找表被配置為基於當前感測參數值相對於期望感測參數值提供校正量(例如,誤差量)。在一個實例中,感測參數是任何時刻的基板電壓幅值。系統控制器126隨後使用從信號偵測組件188接收到的偵測電壓來產生控制信號並將該控制信號輸送到PV波形產生器175和/或電壓源173,使得PV波形產生器175和/或電壓源173將改變提供至偏置電極104和/或邊緣控制電極115的電壓。在一個實例中,PV波形產生器175將基於在彼時刻所偵測到的在基板103處建立的電壓的幅值來改變提供至偏置電極的PV波形。因此,基板電位感測組件184和系統控制器可用於補償由基板電位感測組件184偵測的脈衝波形電壓的漂移。在另一個實例中,電壓源173基於來自系統控制器126的控制信號的輸送改變提供至偏置電極104的夾持電壓,該控制信號基於所偵測到的在基板103處建立的電壓的幅值。
在活動1008處,方法1000包括由PV波形產生器175產生改變的PV波形;和/或由至少一個電壓源173產生施加至偏置電極104和/或邊緣控制電極115的改變的夾持電壓。在一些實施例中,施加至偏置電極104和/或邊緣控制電極的改變的夾持電壓是由多於一個電壓源產生的。在一個實例中,產生改變的夾持電壓包括藉由使用電壓源173將DC偏置電壓施加至偏置電極104來改變施加到偏置電極104的脈衝電壓波形。所施加的DC偏置電壓被提供為使得所施加的DC偏置電壓改變由PV波形產生器175施加的電壓波形的DC電壓位準,並且由此改變施加至基板的靜電夾持力。在一個實施例中,可以量測靜電夾持力以確定基板在去夾持過程中何時完全放電。在一些情況下,可以量測基板和/或偏置電極處的電壓以確定基板是否在去夾持過程中完全放電和/或何時在去夾持過程中完全放電。一旦殘餘電荷放電,升降銷就可以安全地向上移動以防止由於殘餘靜電力阻礙升降銷從基板支撐表面提升基板的能力而導致的基板斷裂。
在活動1010處,方法1000包括在第4A圖的電壓波形的一或多個階段期間將改變的PV波形和/或改變的夾持電壓輸送到偏置電極104。
儘管前面針對本揭示案的實施例,但是在不脫離本揭示案的基本範疇的情況下可以設計本揭示案的其他和進一步實施例,並且本揭示案的範疇由所附申請專利範圍確定。
10:電漿處理系統 100:處理腔室 101:電漿 103:基板 104:偏置電極 105:基板支撐件 105a:基板支撐表面 107:基板支撐基底 111:絕緣板 112:接地板 113:腔室主體 114:邊緣環 115:邊緣控制電極 119:處理氣體源 122:側壁 123:腔室蓋 124:腔室基底 126:系統控制器 128:氣體入口 129:處理體積 133:中央處理單元(CPU) 134:記憶體 135:支援電路 136:基板支撐組件 158:通訊線路 160:同軸電力輸送管線 161:電力輸送管線 162:電力輸送管線 163:電力輸送管線 164:電力輸送管線 165:通訊線路 171:RF波形產生器 172:阻抗匹配電路 173:電壓源 174:RF濾波器 175:PV波形產生器 176:感測器 177:電流源 178:濾波器組件 178A:濾波器 178B:濾波器 178C:濾波器 182:氣體系統 183:DC電源系統 184:基板電位感測組件 185:通孔 186:升降銷 188:信號偵測組件 189:RF功率系統 281:升降銷致動器 282:升降銷支撐結構 425:波形 430:波形 441:波形 445:離子電流部分 510:雷射器 511:光電偵測器 512:光纖 513:第一光纖 514:第二光纖 525:光纖信號偵測組件 550:光纖感測器 605:導數(D點)電場感測組件 612:通訊線路 650:D點感測器 703:通訊線路 705:通訊線路 710:濾波器 720:MOSFET 740:MOSFET元件感測組件 750:探頭 810:濾波器 811:通訊線路 813:通訊線路 815:通訊線路 820:變容二極體 840:變容二極體感測組件 850:探頭 900:方法 902:活動 904:活動 906:活動 908:活動 910:活動 1000:方法 1002:活動 1004:活動 1006:活動 1008:活動 1010:活動 D 1:第一距離 D 2:第二距離 I i:離子電流
為了能夠詳細理解本揭露的上述特徵,可以參考實施例對以上簡要概述的本揭露進行更特別的描述,實施例中的一些實施例在附圖中圖示。然而,應當注意的是,附圖僅圖示了示例性實施例,並且因此不應被視為是對其範疇的限制,並且可以允許其他同等有效的實施例。
第1圖是根據一或多個實施例的處理系統的示意性剖視圖,該處理系統被配置為實踐本文所述的方法。
第2圖是根據一或多個實施例的設置在第1圖的處理系統中的基板支撐組件的俯視等距視圖。
第3A圖是根據一個實施例的藉由沿剖面線3-3剖切第2圖的基板支撐組件而形成的基板支撐組件的側剖視圖。
第3B圖圖示了根據一個實施例的第3A圖中所示的基板支撐組件的剖視圖的一部分。
第4A圖圖示了根據一或多個實施例的在設置於處理腔室的基板支撐組件上的基板上建立的電壓波形。
第4B圖圖示了根據一或多個實施例施加到處理腔室的基板支撐組件內的偏置電極的脈衝電壓波形。
第5A圖是根據一個實施例的包括基板電位感測組件的電漿處理系統的基板支撐組件的示意性剖視圖。
第5B圖圖示了根據一個實施例的可在第5A圖中所圖示的基板支撐組件中使用的基板電位感測系統的實例。
第6圖是根據一個實施例的包括另一種類型的基板電位感測組件的電漿處理系統的基板支撐組件的示意性剖視圖。
第7圖是根據一個實施例的包括另一種類型的基板電位感測組件的電漿處理系統的基板支撐組件的示意性剖視圖。
第8圖是根據一個實施例的包括另一種類型的基板電位感測組件的電漿處理系統的基板支撐組件的示意性剖視圖。
第9圖是圖示根據一個實施例的用於電漿處理系統中的即時晶圓電位量測的方法的圖。
第10圖是圖示根據一個實施例的用於電漿處理系統中的即時晶圓電位量測的方法的圖。
為了促進理解,在可能的情況下,使用相同的附圖標記來表示附圖中共用的元件。預期一個實施例的元件和特徵可以有益地結合到其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
103:基板
104:偏置電極
105:基板支撐件
105a:基板支撐表面
107:基板支撐基底
111:絕緣板
112:接地板
126:系統控制器
158:通訊線路
173:電壓源
175:PV波形產生器
176:感測器
177:電流源
178:濾波器組件
184:基板電位感測組件
185:通孔
186:升降銷
188:信號偵測組件
281:升降銷致動器
282:升降銷支撐結構
D1:第一距離
D2:第二距離

Claims (20)

  1. 一種電漿處理系統,包括: 一基板支撐件,設置在該電漿處理系統的一處理體積內,該基板支撐件包括: 一基板支撐表面;和 一介電層,設置在一第一電極與該基板支撐表面之間;以及 至少一個感測器,被設置成距該基板支撐表面達一第一距離,其中 該第一電極被設置成距該基板支撐表面達一第二距離; 該第一距離和該第二距離是在一第一方向上量測的; 該第一距離小於該第二距離;並且 該感測器被配置為偵測一電場強度或一電壓。
  2. 如請求項1所述之電漿處理系統,進一步包括一第一產生器,該第一產生器耦接至該電漿處理系統的一第二電極,其中該第一產生器被配置為在該處理體積內產生一電漿。
  3. 如請求項2所述之電漿處理系統,進一步包括: 一脈衝電壓(PV)波形產生器,耦接至該第一電極; 一直流(DC)電壓源,耦接至該第一電極; 一電流源,選擇性地耦接至該第一電極; 一或多個濾波器,設置在該脈衝波形產生器與該第一電極之間;以及 一或多個濾波器,設置在該電壓源與該第一電極之間, 其中該第一產生器包括一射頻(RF)波形產生器。
  4. 如請求項1所述之電漿處理系統,其中該感測器包括一光纖電場感測器,該光纖電場感測器用於偵測一電場強度。
  5. 如請求項1所述之電漿處理系統,其中該感測器包括一電場導數感測器,該電場導數感測器被配置為偵測一電場強度。
  6. 如請求項1所述之電漿處理系統,其中該感測器包括一MOSFET元件。
  7. 如請求項1所述之電漿處理系統,其中該感測器包括一變容二極體。
  8. 如請求項1所述之電漿處理系統,其中該第一電極是一靜電卡盤電極。
  9. 如請求項1所述之電漿處理系統,其中該第二距離小於或等於5 mm。
  10. 如請求項1所述之電漿處理系統,進一步包括一控制器,該控制器具有一處理器,該處理器被配置為執行電腦可讀取指令,該等電腦可讀取指令使該系統: 藉由使用一脈衝電壓(PV)波形產生器,將一第一電壓波形施加至該第一電極, 使用該感測器量測隨時間推移的該電場的一強度;以及 改變由該脈衝電壓(PV)波形產生器產生的一脈衝電壓(PV)波形或改變由電耦合到該第一電極的一電流源施加至該第一電極的一電流。
  11. 一種電漿處理系統,包括: 一基板支撐件,設置在該電漿處理系統的一處理體積內,該基板支撐件包括: 一基板支撐表面; 一第一電極,設置在該基板支撐件中並且距該基板支撐表面達一第一距離;以及 一介電層,設置在該基板支撐表面與該第一電極之間; 一脈衝電壓(PV)波形產生器,耦接至該第一電極; 一射頻(RF)波形產生器,耦接至該電漿處理系統的一第二電極,其中該射頻(RF)波形產生器被配置為在該處理體積內產生一電漿;以及 一感測器,被設置成距該基板支撐表面達一第二距離,其中 該第一距離和該第二距離是在一第一方向上量測的; 該第二距離小於該第一距離,並且 該感測器被配置為偵測一電場強度或一電壓。
  12. 如請求項11所述之電漿處理系統,進一步包括: 一DC電壓源,耦接至該第一電極;以及 一或多個濾波器,用於將一DC電壓波形與一PV波形電氣分離。
  13. 如請求項11所述之電漿處理系統,其中該感測器包括一光纖電場感測器。
  14. 如請求項11所述之電漿處理系統,其中該感測器包括一電場導數感測器。
  15. 如請求項11所述之電漿處理系統,其中該感測器包括一MOSFET元件。
  16. 如請求項11所述之電漿處理系統,其中該感測器包括一變容二極體。
  17. 如請求項11所述之電漿處理系統,進一步包括一控制器,該控制器具有一處理器,該處理器被配置為執行電腦可讀取指令,該等電腦可讀取指令使該系統: 藉由使用一脈衝電壓(PV)波形產生器,將一第一電壓波形施加至該第一電極, 使用該感測器量測隨時間推移的該電場的該強度;以及 改變由該脈衝電壓(PV)波形產生器產生的一脈衝電壓(PV)波形或改變由電耦合到該第一電極的一電流源施加至該第一電極的一電流。
  18. 一種用於夾持一基板的方法,包括以下步驟: 在一處理腔室的一處理區域中產生一電漿; 將一第一電壓波形施加至設置在一基板支撐件中的一第一電極,以將該第一電壓波形電容耦合到設置在該基板支撐件的一基板支撐表面上的一基板,其中該基板支撐件設置在該處理區域中; 使用一電場感測器量測在該第一電極與該基板支撐表面之間形成的一電場的一強度;以及 基於所量測的該電場的該強度改變該第一電壓波形。
  19. 如請求項18所述之方法,其中產生該電漿之步驟包括以下步驟:將一射頻(RF)波形輸送至一或多個第二電極。
  20. 如請求項19所述之方法,其中改變該第一電壓波形之步驟包括以下步驟:將一DC偏置電壓施加至該第一電極,其中該DC偏置電壓被配置為改變施加至該基板的一靜電夾持力。
TW111143539A 2021-11-29 2022-11-15 用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備 TW202338905A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/537,314 US20230170192A1 (en) 2021-11-29 2021-11-29 Method and apparatus for realtime wafer potential measurement in a plasma processing chamber
US17/537,314 2021-11-29

Publications (1)

Publication Number Publication Date
TW202338905A true TW202338905A (zh) 2023-10-01

Family

ID=86499327

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111143539A TW202338905A (zh) 2021-11-29 2022-11-15 用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備

Country Status (3)

Country Link
US (1) US20230170192A1 (zh)
TW (1) TW202338905A (zh)
WO (1) WO2023096730A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101656762B1 (ko) * 2010-03-16 2016-09-12 가부시키가이샤 토호쿠 테크노 아치 플라즈마 프로세스에 의한 가공 형상의 예측 시스템, 방법 및 프로그램을 기록한 기록 매체
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US9589767B2 (en) * 2013-07-19 2017-03-07 Advanced Energy Industries, Inc. Systems, methods, and apparatus for minimizing cross coupled wafer surface potentials
KR101776022B1 (ko) * 2016-01-08 2017-09-07 세메스 주식회사 전력 공급 장치, 그를 이용하는 기판 처리 장치, 및 그 제어 방법
US11361947B2 (en) * 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching

Also Published As

Publication number Publication date
WO2023096730A1 (en) 2023-06-01
US20230170192A1 (en) 2023-06-01

Similar Documents

Publication Publication Date Title
US20220157555A1 (en) Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US11011349B2 (en) System, method, and apparatus for controlling ion energy distribution in plasma processing systems
KR101800649B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US10109462B2 (en) Dual radio-frequency tuner for process control of a plasma process
US7199327B2 (en) Method and system for arc suppression in a plasma processing system
KR101761493B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 교정하기 위한 시스템 및 방법
US10332760B2 (en) Method for controlling plasma processing apparatus
US9362089B2 (en) Method of controlling the switched mode ion energy distribution system
KR101860182B1 (ko) 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
CN111430207A (zh) 等离子体处理方法和等离子体处理装置
US20210351007A1 (en) Surface charge and power feedback and control using a switch mode bias system
TW202107949A (zh) 用於主動調諧電漿功率源的方法及設備
TW202329193A (zh) 射頻電漿處理腔室中的失真電流減緩
TWI821551B (zh) 阻抗匹配裝置
WO2018233455A1 (zh) 偏压调制方法、偏压调制系统和等离子体处理设备
TW202338905A (zh) 用於在電漿處理腔室中進行即時晶圓電位量測的方法和設備
TW202341223A (zh) 在電漿處理期間用於傳送複數個波形訊號之設備與方法
JP7318114B2 (ja) プラズマ安定性を改善するための同調方法
WO2021006999A1 (en) Process control enabled vdc sensor for plasma process
US20240118328A1 (en) In-situ electric field detection method and apparatus
TW202416337A (zh) 原位電場偵測方法及設備
JP2017028092A (ja) プラズマ処理装置及びプラズマ処理方法
WO2022108755A1 (en) Plasma uniformity control using a pulsed magnetic field