DE69735271T2 - Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung - Google Patents

Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung Download PDF

Info

Publication number
DE69735271T2
DE69735271T2 DE69735271T DE69735271T DE69735271T2 DE 69735271 T2 DE69735271 T2 DE 69735271T2 DE 69735271 T DE69735271 T DE 69735271T DE 69735271 T DE69735271 T DE 69735271T DE 69735271 T2 DE69735271 T2 DE 69735271T2
Authority
DE
Germany
Prior art keywords
chamber
gas
opening
plasma
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69735271T
Other languages
English (en)
Other versions
DE69735271D1 (de
Inventor
David Fremont TRUSSELL
C. Robert Hayward KOEMTZOPOULOS
Felix Sunnyvale KOZAKEVICH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of DE69735271D1 publication Critical patent/DE69735271D1/de
Publication of DE69735271T2 publication Critical patent/DE69735271T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

  • GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft das Gebiet chemischer Aufdampfungssysteme und insbesondere Verfahren zum Reinigen des Rückstands, der von dem Prozessgas zurückgelassen wird, das in eine Plasmakammer des Systems injiziert wurde.
  • HINTERGRUND DER ERFINDUNG
  • Bei chemischen Aufdampfungssystemen (CVD-Systemen) wird normalerweise eine Kammer verwendet, in der gasförmige Chemikalien reagieren. Aus diesen Reaktionen wird eine Substanz auf eine Waferoberfläche aufgedampft, um dielektrische, Leiter- und Halbleiterfilmschichten zu bilden, die zum Beispiel einen integrierten Schaltkreis bilden. Bei einem chemischen Aufdampfungssystem wird ein Prozessgas in die Plasmakammer injiziert, in der ein Plasma gebildet wird. Aufgrund des Ionenbeschusses in dem Plasma des Prozessgases (zum Beispiel SiH4 (Silan)) wird Silizium auf einen Wafer aufgedampft, der zuvor in der Kammer angeordnet wurde. Während dieses Aufdampfungsschrittes werden die Gasinjektionsöffnungen, die ebenfalls als Düsenschrauben bekannt sind, typischerweise mit siliziumreichem Oxidrückstand verstopft, der durch die Kombination aus SiH4 (das Prozessgas) und Sauerstoffradikalen, die in die Gasinjektionsöffnung strömen, gebildet wird. Diese Sauerstoffradikale stammen aus der Plasmakammer.
  • Der Rückstand bedeckt die Wände der Kammer und neigt ebenfalls dazu, die Gasinjektionsöffnungen zu verstopfen. Die Kammer sowie die Gasinjektionsöffnungen müssen nach jeder Aufdampfung gereinigt werden. Dadurch wird sichergestellt, dass jeder Wafer dieselbe Umgebung vorfindet, so dass der Aufdampfungsprozess wiederholbar ist. Da das Öffnen der Kammer (Auswechseln der Hardware) zur Reinigung sehr arbeitsintensiv und teuer ist, wurde zuvor ein Verfahren zum Entfernen der Ablagerungen von den Kammerwänden, ohne die Kammer selbst zu öffnen, entwickelt. Diese „Vor-Ort"-Reinigung wurde bisher unter Verwendung von Fluor durchgeführt. Das Fluor wird als NF3 in die Kammer injiziert. Es ist bekannt, dass Fluor Silizium und Siliziumdioxid bei hohen Raten ätzt, wenn es von Ionenbeschuss begleitet wird. Hochfrequenzstrom (HF-Strom) stellt die Energie für den Ionenbeschuss bereit, wobei das NF3 als Fluorquelle dient.
  • Nachdem ein Wafer durch Aufdampfung in dem CVD-System verarbeitet wurde, wird der Wafer typischerweise entfernt und zu einer Ladesperre befördert. Ein Abdeckwafer wird daraufhin zur Kammer befördert und auf der Spannvorrichtung angeordnet. Der Abdeckwafer ist ein Standardsiliziumwafer, der mit Aluminium beschichtet ist. Er schützt die Oberfläche der Spannvorrichtung vor den darauffolgenden Plasmareinigungs- und Konditionierungsschritten.
  • Der HF-Strom wird an die Kammer angelegt und NF3 wird in die Kammer injiziert. Daraufhin werden die Wände von der Oxidablagerung gereinigt. Jedoch können sich in der Kammer und an den Wänden nach wie vor eine bedeutende Menge an Fluor sowie freie Partikel befinden. Aus diesem Grund ist oft ein Prä-Aufdampfungskonditionierungsschritt erforderlich. Der Konditionierungsschritt ist im Wesentlichen eine Aufdampfung, die das Fluor einfängt und Partikel auf die Kammerwände herab bringt, so dass sie dort anhaften. Wenn dieser Prä-Aufdampfungskonditionierungsschritt beendet ist, wird der Abdeckwafer zurück zu seiner Kassette befördert und der nächste Wafer kann daraufhin verarbeitet werden.
  • Bei herkömmlichen Systemen zum Leiten des Gases zur Kammer werden die Injektionsöffnungen von dem Aufdampfungsprozessgas (SiH4) und dem vor-Ort-Reinigungsgas (NF3) gemeinsam benutzt. Eine solche Anordnung ist in 1 des Stands der Technik gezeigt, in der ein Abschnitt einer Prozesskammer schematisch dargestellt ist. Die Plasmakammer 10 injiziert Sauerstoff an der Öffnung 12 in das Innere 14 der Plasmakammer. Die Sauerstoffradikale werden in der Plasmakammer 14 gebildet. Die gemeinsam verwendeten Injektionsöffnungen für das Aufdampfungsprozessgas und das Vor-Ort-Reinigungsgas sind als Bezugsnummer 16 dargestellt. Während des Aufdampfungsschritts werden die Gasinjektionsöffnungen (ebenfalls als "Düsenschrauben" bekannt) mit siliziumreichem Oxidrückstand verstopft, der aus der Kombination aus SiH4 und den ankommenden Sauerstoffradikalen, die aus der Plasmakammer stammen, gebildet wird.
  • Wie zuvor erwähnt, ist das Vor-Ort-Reinigungsgas dafür ausgelegt, den SiO2-Rückstand (Siliziumdioxid-Rückstand) chemisch zu ätzen. Jedoch bildet Hochdruck, der durch Überschallgasströmungen vor den Düsenschrauben verursacht wird, Bereiche mit wenigen Fluorradikalen, die das fluorinduzierte Ätzen des SiO2 verringern. Eine schematische Darstellung einer Einzelheit einer Düsenschraube ist in 2 des Stands der Technik bereitgestellt. NF3-Gas wird durch die Düsenschraube 16 in die Kammer 14 injiziert. Innerhalb der Düsenschraube liegt eine SiO2-Verstopfung vor, die bei Punkt 18 an der Düsenschraube 16 schematisch dargestellt ist. Der Hochdruckbereich 20 mit wenigen Fluorradikalen, der durch die Überschallgasströmungen vor den Düsenschrauben 16 verursacht wird, reduziert das fluorinduzierte Ätzen des SiO2 in diesem Bereich und verhindert insbesondere, dass die Düsenschrauben 16 von dem SiO2-Rückstand befreit werden. Alle anderen Kammeroberflächen mit Ausnahme der Düsenschraubenöffnungen werden typischerweise gereinigt.
  • Aufgrund der SiO2-Verstopfung der Düsenschraubenöffnungen werden die Düsenschrauben normalerweise ersetzt, nachdem etwa 300 Wafer verarbeitet wurden. Dieses Verfahren umfasst das Abschalten der Kammer, was hohe Kosten und Produktionsverluste mit sich bringt. Ein weiteres Problem der Anordnung des Stands der Technik besteht darin, dass das SiH4-Gas und das NF3-Gas in Kombination hoch brennbar sind, so dass es relativ gefährlich sein kann, die Gase durch dieselben Injektionsöffnungen zu leiten.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Es besteht ein Bedarf für ein Gasleitsystem und ein Verfahren zum Leiten von Gas in eine Plasmakammer, um die Düsenschrauben zu entstopfen, durch die Aufdampfungsgas in die Kammer injiziert wird.
  • Diese und andere Anforderungen werden durch das Verfahren nach Anspruch 1 der vorliegenden Erfindung erfüllt, das eine Anordnung zur Vor-Ort-Reinigung einer Kammer verwendet, wobei Prozessgas durch Gasinjektionsöffnungen in die Kammer injiziert wird. Die Anordnung umfasst eine Kammer, in der ein Prozess durchgeführt wird, und mindestens eine erste Gasinjektionsöffnung in der Kammer, durch die das Prozessgas in die Kammer injiziert werden kann. Mindestens eine zweite Gasinjektionsöffnung ist in der Kammer bereitgestellt, durch die Vor-Ort-Reinigungsgas in die Kammer injiziert werden kann. Das Reinigungsgas, das in die Kammer injiziert wird, berührt ebenfalls die erste Gasinjektionsöffnung, um die erste Gasinjektionsöffnung zu reinigen. Die erste und zweite Gasinjektionsöffnung sind separate Öffnungen.
  • Durch Umleiten des Reinigungsgases durch eine separate zweite Gasinjektionsöffnung wird der Druck innerhalb der Düsenschrauben an den Druck der Kammer angeglichen. Dadurch werden eine stärkere Fluordissoziation und ein stärkeres SiO2-Ätzen ermöglicht.
  • Ein weiterer Vorteil der vorliegenden Erfindung besteht in der Injektion des SiH4-Gases und des NF3-Gases durch vollständig separate Verteilerrohre, wodurch ein deutlicher Sicherheitsvorteil bereitgestellt wird.
  • Ein weiterer Vorteil der vorliegenden Erfindung besteht in der Verringerung des Wartungsausmaßes, das für die Kammer erforderlich ist. Unter Verwendung des Gasleitsystems der vorliegenden Erfindung braucht die Kammer beispielsweise für etwa 3000 Wafer nicht gewartet zu werden. Dies ist ein eindeutiger Vorteil gegenüber dem Stand der Technik, bei dem die Düsenschrauben nach nur 300 Wafern ersetzt werden mussten.
  • Ein weiterer Vorteil der vorliegenden Erfindung besteht darin, dass die Vor-Ort-Reinigungszeit aufgrund einer effizienteren Reinigung der Düsenschrauben verkürzt wird. Dadurch wird ein Durchsatzvorteil von beispielsweise zwei Wafer pro Stunde erzielt. Schließlich besteht ein weiterer Vorteil darin, dass die Lichtbogenbildung vom Plasma zur Oberfläche in dem Bereich der Düsenschraube vollständig eliminiert ist.
  • Eine weitere Ausführungsform der vorliegenden Erfindung erfüllt die zuvor erwähnten Anforderungen, indem ein Verfahren zum Leiten von Gas zu einer Plasmakammer geschaffen wird, das folgende Schritte umfasst: Injizieren von Prozessgas durch eine erste Gasinjektionsöffnung in die Plasmakammer und Injizieren eines Reinigungsgases durch eine zweite Gasinjektionsöffnung in die Plasmakammer. Die zweite Gasinjektionsöffnung ist separat von der ersten Gasinjektionsöffnung. Das Reinigungsgas reinigt die Plasmakammer und die erste Gasinjektionsöffnung.
  • Ein weiteres Verfahren der vorliegenden Erfindung schafft ein Entstopfen der Düsenschraubenöffnungen in der Kammer. Die Düsenschraubenöffnungen injizieren Prozessgas in die Kammer. Dieses Verfahren umfasst folgende Schritte: Beenden der Injektion des Prozessgases in die Kammer und Injizieren des Reinigungsgases in die Kammer durch Öffnungen, die von den Düsenschraubenöffnung separat sind, um den Druck des Reinigungsgases innerhalb der Düsenschraubenöffnungen an den Druck des Reinigungsgases innerhalb der Kammer anzugleichen.
  • Bei einer bevorzugten Ausführungsform der vorliegenden Erfindung wird ein chemisches Elektronzyklotronresonanz-Aufdampfungssystem verwendet. Dieses System umfasst eine Elektronzyklotronresonanz-Plasmakammer und eine Gasversorgung, die der Plasmakammer Plasmabildungsgas, Prozessgas und Reinigungsgas bereitstellt. Die Plasmakammer verfügt über eine erste Öffnung, durch die das Prozessgas zugeführt wird, und über eine zweite Öffnung, die von der ersten Öffnung separat ist und durch die Reinigungsgas zugeführt wird.
  • Die vorangehenden und andere Merkmale, Gesichtspunkte und Vorteile der vorliegenden Erfindung werden aus der folgenden ausführlichen Beschreibung der vorliegenden Erfindung im Zusammenhang mit den beigefügten Zeichnungen deutlicher ersichtlich.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist eine schematische Darstellung eines Abschnitts einer Prozesskammer gemäß des Stands der Technik.
  • 2 ist eine schematische Darstellung einer Einzelheit eines Abschnitts der Prozesskammer.
  • 3 ist eine schematische Querschnittszeichnung eines chemischen Elektronzyklotronresonanz-Aufdampfungssystems, das gemäß einer Ausführungsform der vorliegenden Erfindung konstruiert ist.
  • 4 ist eine schematische Querschnittszeichnung eines chemischen Aufdampfungssystems, das gemäß einer anderen Ausführungsform der vorliegenden Erfindung konstruiert ist.
  • AUSFÜHRLICHE BESCHREIBUNG DER ZEICHNUNGEN
  • Eine schematische Darstellung eines Querschnitts eines chemischen Elektronzyklotronresonanz-Aufdampfungssystems, das gemäß einer Ausführungsform der vorliegenden Erfindung konstruiert ist, ist in 3 bereitgestellt. Das System umfasst eine Elektronzyklotronresonanz-Plasmakammer 30 mit einer Mikrowellenstromversorgung 32 mit 2,45 GHz. Die Mikrowellen gelangen durch ein Mikrowellenfenster 34 in die Plasmakammer 30. Eine Quarzauskleidung 36 kleidet den Innenraum der Plasmakammer 30 aus.
  • Plasma wird durch die Ionisierung von Gasmolekülen erzeugt. Dies kann dadurch erreicht werden, dass ein energetisches Elektron auf ein neutrales Molekül auftrifft. Elektronen können ebenfalls Dissoziation und andere Erregungen verursachen. Die Elektronen werden durch elektrische Felder, wie beispielsweise HF und Mikrowellen, erregt. Diese sind als solches die herkömmlichen Verfahren zur Erzeugung von Verarbeitungsplasma.
  • Im Gegensatz zu herkömmlichem Plasma, das typischerweise bei einem Druck von mehr als 9,1 Pascal arbeitet, arbeitet Plasma mit hoher Dichte bei einem Druck im Bereich von 0,067 bis 1,3 Pascal. Das Ionen-Neutral-Verhältnis kann bis zu eins zu Hundert betragen (verglichen mit weniger als eins zu einer Million bei Plasma mit niedriger Dichte). Die Ionendichten können mehr als 1E12 pro Kubikzentimeter betragen. Für ein derartiges Plasma sind anspruchsvolle Plasmaerzeugungsverfahren, wie beispielsweise Elektronzyklotronresonanz, erforderlich.
  • Bei einer Elektronzyklotronresonanz-Plasmakammer entspricht die Elektronenwinkelfrequenz aufgrund des Magnetfeldes einer Mikrowellenfrequenz, so dass eine Elektronzyklotronresonanz auftritt. In diesem Zustand gewinnen die Elektronen von der Mikrowellenquelle Energie und werden in einer kreisförmigen Bewegung beschleunigt. Der Querschnitt für die Ionisierung wird daher effektiv vergrößert, wodurch die Erzeugung von Plasma mit hoher Dichte bei niedrigem Druck ermöglicht wird.
  • Das Magnetfeld wird ebenfalls verwendet, um die Ionen aus der Plasmaquelle zu extrahieren. Die Ionen folgen den Induktionslinien in Richtung des Wafers. Das Plasma neigt aufgrund des divergierenden Magnetfeldes zu einer konischen Form. Das divergierende Magnetfeld erzeugt eine Kraft, die die Elektronen aus der Plasmakammer herauszieht. Das resultierende Potenzial extrahiert Ionen, um einen Plasmastrom mit unterschiedlichen Richtungen zu bilden.
  • Das divergierende Magnetfeld wird durch eine Primärspule 38 erzeugt, die die 875 Gauss bereitstellt, die für den Elektronzyklotronresonanzzustand erforderlich sind. Diese Primärspule 38 stellt ebenfalls das divergierende Magnetfeld für die Ionenextraktion bereit.
  • Zusatzmagnetspulen 40 sind hinter einer Waferhalterbaugruppe 42 bereitgestellt, um das Plasma in die gewünschte Form zu formen.
  • Die Waferhalterbaugruppe 42 hält einen Wafer (in 3 nicht veranschaulicht). Der Waferhalter 42 umfasst eine HF-Stromversorgung mit 13,56 MHz (bis zu 2500 W). Die HF-Stromversorgung stellt zusammen mit den Mikrowellen die elektrischen Felder bereit, die die Elektronen erregen, um das Verarbeitungsplasma zu erzeugen.
  • Es ist eine elektrostatische Spannvorrichtung bereitgestellt, um die Wafer in einer Reaktorkammer 48 zu halten. Die Verwendung einer elektrostatischen Spannvorrichtung beseitigt die Notwendigkeit für eine mechanische Klemmbefestigung des Wafers. Die Abkühlung des Wafers wird beispielsweise mit Hilfe von Helium durch eine Heliumversorgungsleitung 50 zur Unterseite oder Rückseite des Wafers bereitgestellt. Ein geschlossener Regelkreis des Heliumdrucks regelt die Wafertemperatur während der Aufdampfung. Die Wafertemperaturüberwachung vor Ort wird mit Hilfe einer Temperatursonde 52 bereitgestellt, die ihre Sensorsignale zu einem Steuergerät (nicht gezeigt) sendet. Eine Turbomolekularpumpe mit einer Leistung von 3000 1/sek und einem Basisdruck von weniger als 13 × 10–3 Pascal wird zur Steuerung des Drucks innerhalb der Plasmakammer 30 und der Reaktorkammer 48 verwendet.
  • Ein Wafertransportmechanismus (nicht gezeigt) ist für den Transport von Wafern in die Reaktorkammer 48 und aus dieser heraus bereitgestellt. Ein herkömmliches Wafertransportsystem kann zu diesem Zweck verwendet werden.
  • Bei bestimmten Ausführungsformen der vorliegenden Erfindung werden Sauerstoff und Argon von einer Gasversorgung durch eine Injektionsöffung 60 in die Plasmakammer 30 geleitet. Plasma wird in der Plasmakammer bei Anlegen der HF-Energie und der Mikrowellenenergie durch den HF-Generator 44 und den Mikrowellengenerator 32 erzeugt.
  • Sobald ein Wafer von dem Wafertransportsystem in die Reaktorkammer 48 befördert und auf der elektrostatischen Spannvorrichtung 46 angeordnet und Plasma in der Plasmakammer 30 erzeugt worden ist, wird das Aufdampfungsgas (beispielsweise SiH4) durch eine oder mehrere Gasinjektionsöffnungen 62, die separat von der Gasinjektionsöffnung sind, durch die das Gas zur Bildung des Plasmas bereitgestellt wird, in die Plasmakammer 30 eingeleitet. Die Gasinjektionsöffnungen 62 sind beispielsweise Düsenschrauben. Während der Aufdampfung werden diese Düsenschrauben zusammen mit den restlichen Oberflächen der Plasmakammer 30 und der Reaktorkammer 48 mit einem Rückstand (SiO2) beschichtet. Dieser Rückstand sollte von den Oberflächen der Kammer und dem Inneren der Düsenschrauben 62 zwischen der Verarbeitung jedes Wafers beseitigt werden, so dass jeder Wafer dieselbe Umgebung vorfindet, wodurch der Prozess wiederholbar gemacht wird. Demgemäß wird das Reinigungsgas (NF3 bei der beispielhaften Ausführungsform der vorliegenden Erfindung) in die Plasmakammer eingeleitet und HF-Strom wird von dem HF-Generator 44 an die Plasmakammer 30 angelegt.
  • Wie zuvor bei der Anordnung des Stands der Technik erörtert, wird die Düsenschraubenöffnung 62 mit dem SiO2-Rückstand verstopft und die Injektion des NF3-Reinigungsgases durch die Düsenöffnungen 62 erzeugte während des Reinigungsschrittes vor Ort einen Hochdruckbereich in der Plasmakammer 30 direkt vor der Düsenschraube. Dies verursachte aufgrund des hohen lokalisierten Drucks vor den Düsenschraubenöffnungen 62 eine schlechte Fluordissoziation. Folglich war keine ausreichende Menge an Fluorradikalen vorhanden, um mit dem SiO2 zu reagieren und die Düsenschraubenöffnungen 62 ausreichend zu reinigen. Da die Düsenschraubenöffnungen 62 während der Vor-Ort-Reinigung nicht ausreichend gereinigt wurden, war deren häufiger Ersatz, beispielsweise nach etwa 300 Wafern, erforderlich.
  • Bei der vorliegenden Erfindung wird das NF3-Reinigungsgas, wie in 3 dargestellt, durch die Injektionsöffnung 60, die dieselbe Öffnung ist, durch die der Sauerstoff und das Argongas injiziert werden, in die Plasmakammer 30 injiziert. Diese Injektionsöffnung 60 ist von den Injektionsöffnungen (Düsenschraubenöffnungen) 62, durch die das Aufdampfungsgas injiziert wird, separat. Bei anderen Ausführungsformen der Erfindung wird das Reinigungsgas in eine dedizierte Öffnung, die ausschließlich für die Reinigungsgasinjektion bestimmt ist, injiziert. Eine derartige Ausführungsform ist in 4 dargestellt, bei der das Reinigungsgas durch ihre eigene dedizierte Öffnung 63 injiziert wird, wobei der Sauerstoff und das Argongas durch die Injektionsöffnung 60 injiziert werden und das SiO2 durch die Düsenschraubenöffnungen 62 injiziert wird.
  • Das Leiten des Reinigungsgases, das in die Plasmakammer 30 durch eine Öffnung injiziert werden soll, die von der Injektionsöffnung separat ist, durch die das Aufdampfungsgas injiziert wird, weist eine Reihe von Vorteilen auf, einschließlich der Angleichung des Drucks innerhalb der Düsenschraube 62 und der Plasmakammer 30 während der Reinigung vor Ort. Dadurch wird verhindert, dass sich der Hochdruckbereich in der Plasmakammer 30 und der Düsenschraube 62 bildet. Folglich liegt keine schlechte Fluordissoziation aufgrund des hohen lokalisierten Drucks vor den Düsenschrauben 62 mehr vor. Die Düsenschrauben 62 werden daher verhältnismäßig in demselben Ausmaß gereinigt, wie die anderen Oberflächen der Plasmakammer 30.
  • Ein weiterer Vorteil, der durch das separate Leiten des Reinigungsgases und des Aufdampfungsgases bereitgestellt wird, betrifft die Sicherheit. Wie zuvor erwähnt, sind das SiH4-Gas und das NF3-Gas in Kombination hoch brennbar. Ihre Trennung gemäß der vorliegenden Erfindung stellt einen deutlichen Sicherheitsvorteil bereit. Ebenso wird im Bereich der Düsenschraubenöffnungen 62 jegliche Plasma-Oberflächen-Lichtbogenbildung beseitigt. Des Weiteren wird durch die bessere Reinigungsleistung innerhalb der Düsenschraube 62 die Notwendigkeit für einen Ersatz der Düsenschrauben nach nur 300 Wafern beseitigt. Die Erfinder haben festgestellt, dass in der Plasmakammer 30 für etwa 3000 Wafer keine Wartung erforderlich ist. Dies ist ein äußerst bedeutender Vorteil für die Verringerung des Ausmaßes der Ausfallzeit zur Wartung der Kammer. In diesem Zusammenhang wird die Reinigungszeit vor Ort aufgrund einer effizienteren Reinigung der Düsenschraubenöffnungen 62 ebenfalls verringert. Beispielsweise kann unter Verwendung des Gasleitsystems der vorliegenden Erfindung ein Durchsatzvorteil von etwa mindestens 2 Wafern pro Stunde realisiert werden. In Fällen, in denen die Verarbeitung eines einzelnen Wafers einen hohen Profit bereitstellt, ist der Durchsatz der Wafer von entscheidender Bedeutung.
  • Eine beispielhafte Ausführungsform des Betriebs der Erfindung lautet wie folgt. Nachdem der Aufdamfpungsprozess beendet ist und das Aufdampfungsgas der Plasmakammer 30 nicht mehr bereitgestellt wird, wird der Wafer, der verarbeitet wird, von dem Wafertransportsystem entnommen und zur Ladesperre befördert. Zu diesem Zeitpunkt wird ein Abdeckwafer zur Reaktorkammer 48 befördert und auf der elektrostatischen Spannvorrichtung 46 angeordnet. Der Abdeckwafer ist ein Standardsiliziumwafer, der mit Aluminium beschichtet ist. Der Zweck des Abdeckwafers besteht darin, die Oberfläche der Spannvorrichtung vor dem Plasmareinigungs- und Konditionierungsschritt zu schützen.
  • Sobald sich der Abdeckwafer in Position befindet, wird HF-Strom an die Plasmakammer 30 angelegt und das NF3-Reinigungsgas wird durch die Öffnung 60 in die Plasmakammer 30 injiziert. Nachdem die Wände der Kammer 30 und die Düsenschraubenöffnung 62 von der Oxidabscheidung gereinigt worden sind, sind immer noch eine ziemliche Menge an Fluor in der Kammer 30 und an den Wänden sowie freie Partikel vorhanden. Aus diesem Grund kann ein Prä- Aufdampfungskonditionierungsschritt verwendet werden. Der Konditionierungsschritt ist im Wesentlichen eine Aufdampfung, die das Fluor einfängt und die Partikel herab bringt, so dass sie anhaften. Wenn dieser Prä-Aufdampfungskonditionierungsschritt beendet ist, wird der Abdeckwafer zurück zu seiner Kassette befördert und der nächste Wafer kann daraufhin verarbeitet werden.
  • Obwohl die vorliegende Erfindung im Zusammenhang mit einem chemischen Elektronzyklotronresonanz-Aufdampfungssystem beschrieben wurde, findet die Erfindung ebenfalls bei anderen Systemtypen Verwendung, die eine Plasmakammer verwenden, in die ein Aufdampfungsgas injiziert wird, wobei ein Rückstand zurückbleibt, der mit Hilfe eines Vor-Ort-Reinigungsgases beseitigt werden muss. Obwohl eine beispielhafte Ausführungsform mit spezifischen Gasen für das Aufdampfungsgas, die sauerstoff- und argonbildenden Gase und das Reinigungsgas beschrieben wurde, ist die Erfindung des Weiteren nicht auf derartige Gase beschränkt und kann mit anderen Gastypen verwendet werden, ohne vom Geist oder Umfang der vorliegenden Erfindung abzuweichen.
  • Obwohl die vorliegende Erfindung ausführlich beschrieben und veranschaulicht wurde, versteht es sich, dass dieselbe lediglich zur Veranschaulichung und als Beispiel und nicht als Beschränkung aufzufassen ist, wobei der Umfang der vorliegenden Erfindung nur durch die Bestimmungen der angehängten Ansprüche eingeschränkt wird.

Claims (13)

  1. Verfahren zur Reinigung vor Ort einer Vakuumverarbeitungskammer zwischen Werkstückverarbeitungsvorgängen, wobei die Werkstückverarbeitung ausgeführt wird, indem der Kammer über eine erste Öffnung Prozessgas zugeführt wird, wobei das Prozessgas aufgrund der Tatsache, dass es in einem Plasma behandelt wird, dazu neigt, einen verstopfenden Rückstand in der ersten Öffnung zurückzulassen, die Kammer eine zweite Öffnung umfasst, die von der ersten Öffnung getrennt ist, das Verfahren das Einführen eines Reinigungsgases durch die zweite Öffnung in die Kammer umfasst, ohne dass die Kammer geöffnet wird, während (a) das Prozessgas nicht der Kammer zugeführt wird und (b) HF-Plasmaerregungsstrom an eine Struktur der Kammer angelegt wird, die die erste Öffnung umfasst, die so ausgelegt ist, dass sie sich in dem Plasma befindet, so dass Druck an der ersten Öffnung ausgeglichen wird und das Reinigungsgas die erste Öffnung von dem verstopfenden Rückstand sowie den Rest der Kammer reinigt.
  2. Verfahren nach Anspruch 1, wobei das Reinigungsgas NF3 ist.
  3. Verfahren nach Anspruch 1 oder Anspruch 2, das das Anlegen von elektrischer Energie an das Reinigungsgas umfasst, um ein Plasma zu erzeugen, das die erste Öffnung und die Kammer von dem Rückstand reinigt.
  4. Verfahren nach Anspruch 3, wobei die Werstückverarbeitung ausgeführt wird, indem das Prozessgas durch eine Elektronzyklotronresonanzstruktur zu einem Plasma erregt wird, wobei die Elektronzyklotronresonanzstruktur eine Struktur in der Kammer umfasst, um dem Prozessgas Plasmaerregungsmikrowellenenergie zuzuführen und die elektrische Energie an das Reinigungsgas angelegt wird, um das Plasma zu erzeugen, das die erste Öffnung reinigt, indem der HF-Plasmaerregungsstrom an dieselbe Struktur in der Kammer angelegt wird, die dem Prozessgas die Plasmaerregungsmikrowellenergie zuführt.
  5. Verfahren nach einem der Ansprüche 1 bis 4, das das Anwenden eines Getters auf die Kammer umfasst, nachdem das Reinigungsgas angewendet worden ist und bevor die Werkstückverarbeitung beginnt, wobei der Getter rückständige Atome des Reinigungsgases aus der Kammer entfernt.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei die Kammer einen Werkstückhalter umfasst und das Verfahren den Schritt des Bedeckens des Werkstückhalters umfasst, während das Reinigungsgas durch die zweite Reinigungsöffnung auf die Kammer angewendet wird.
  7. Verfahren nach Anspruch 6, wenn von Anspruch 5 abhängig, wobei der Werkstückhalter bedeckt ist, während der Getter auf die Kammer angewendet wird.
  8. Verfahren nach Anspruch 6 oder Anspruch 7, das Folgendes umfasst: Ausführen einer Auftragung, nachdem das Reinigungsmittel die Ablagerungen geätzt hat, um das Reinigungsmittel zu gettern, und während der Werkstückhalter bedeckt ist, daraufhin das Aufdecken des Werkstückhalters und daraufhin das Ausführen von Werstückverarbeitungsvorgängen.
  9. Verfahren nach einem der Ansprüche 1 bis 8, das das Einführen eines zweiten Prozessgases während der Vakuumplasmaverarbeitung der Werkstücke durch die zweite Öffnung in die Kammer umfasst, wobei das zweite Prozessgas von einer Art ist, die (a) nicht dazu neigt, einen Rückstand in der zweiten Öffnung zu bilden, und (b) chemisch mit dem ersten Reaktionsgas reagiert.
  10. Verfahren nach Anspruch 9, wobei das zweite Prozessgas Argon und Sauerstoff umfasst.
  11. Verfahren nach Anspruch 9 oder Anspruch 10, wobei das Prozessgas nach Anspruch 1 SiH4 umfasst und der Rückstand SiO2 umfasst.
  12. Verfahren nach einem der Ansprüche 1 bis 8, wobei während der Werkstückverarbeitung kein Gas durch die zweite Öffnung in die Kammer eingeführt wird, wobei das Verfahren das Einführen eines anderen Reaktionsgases während der Werkstückverarbeitung über eine dritte Öffnung in die Kammer umfasst, wobei die über die erste und dritte Öffnung in die Kammer eingeführten Reaktionsgase während der Werkstückverarbeitung chemisch reagieren.
  13. Verfahren nach einem der Ansprüche 1 bis 12, wobei die erste Öffnung, während sie verstopft ist und während Gas an diese angelegt wird, einen Hochdruckbereich erzeugt, der der Gasströmung durch die erste Öffnung in die Kammer widersteht, und das Reinigungsgas derart auf die zweite Öffnung angewendet wird, dass Druck an der ersten Öffnung ausgeglichen wird und das Reinigungsgas die erste Öffnung von dem Rückstand befreit.
DE69735271T 1996-07-09 1997-07-09 Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung Expired - Lifetime DE69735271T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/679,356 US5988187A (en) 1996-07-09 1996-07-09 Chemical vapor deposition system with a plasma chamber having separate process gas and cleaning gas injection ports
US679356 1996-07-09
PCT/US1997/011686 WO1998001601A1 (en) 1996-07-09 1997-07-09 Plasma chamber with separate process gas and cleaning gas injection ports

Publications (2)

Publication Number Publication Date
DE69735271D1 DE69735271D1 (de) 2006-04-20
DE69735271T2 true DE69735271T2 (de) 2006-08-03

Family

ID=24726594

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69735271T Expired - Lifetime DE69735271T2 (de) 1996-07-09 1997-07-09 Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung

Country Status (5)

Country Link
US (2) US5988187A (de)
EP (1) EP0856070B1 (de)
JP (1) JP4000487B2 (de)
DE (1) DE69735271T2 (de)
WO (1) WO1998001601A1 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6408860B1 (en) * 2000-09-21 2002-06-25 Trw Inc. Method for cleaning phosphorus from an MBE chamber
KR100375102B1 (ko) * 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
US6534921B1 (en) * 2000-11-09 2003-03-18 Samsung Electronics Co., Ltd. Method for removing residual metal-containing polymer material and ion implanted photoresist in atmospheric downstream plasma jet system
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
KR100453014B1 (ko) * 2001-12-26 2004-10-14 주성엔지니어링(주) Cvd 장치
US7176140B1 (en) * 2004-07-09 2007-02-13 Novellus Systems, Inc. Adhesion promotion for etch by-products
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
SG171606A1 (en) 2006-04-26 2011-06-29 Advanced Tech Materials Cleaning of semiconductor processing systems
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
WO2009102762A2 (en) 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
JP2013541188A (ja) * 2010-08-25 2013-11-07 リンデ アクチエンゲゼルシャフト 分子状フッ素を用いるリアクターボックスチャンバのクリーニング
JP6154677B2 (ja) 2013-06-28 2017-06-28 東京エレクトロン株式会社 クリーニング方法及び処理装置
KR102357845B1 (ko) 2013-12-02 2022-01-28 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US20180323045A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
TWI755979B (zh) * 2019-12-20 2022-02-21 台灣積體電路製造股份有限公司 薄膜沉積系統以及沉積薄膜方法
KR20230025202A (ko) * 2021-08-13 2023-02-21 정경환 박막 두께 실시간 측정 방법
KR20230025201A (ko) * 2021-08-13 2023-02-21 정경환 박막 두께 실시간 측정 장치

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
JPH0250485A (ja) * 1988-08-12 1990-02-20 Fujitsu Ltd 光導電体
US5207836A (en) * 1989-08-25 1993-05-04 Applied Materials, Inc. Cleaning process for removal of deposits from the susceptor of a chemical vapor deposition apparatus
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置

Also Published As

Publication number Publication date
USRE38097E1 (en) 2003-04-29
EP0856070A1 (de) 1998-08-05
JPH11513197A (ja) 1999-11-09
EP0856070B1 (de) 2006-02-15
WO1998001601A1 (en) 1998-01-15
JP4000487B2 (ja) 2007-10-31
DE69735271D1 (de) 2006-04-20
US5988187A (en) 1999-11-23

Similar Documents

Publication Publication Date Title
DE69735271T2 (de) Verfahren zum Reinigen eines Vakuumbearbeitungskammer einschliesslich der Gaseinlassöffnung
DE69801106T2 (de) Verfahren und vorrichtung zur niederdruckzerstäubung
DE69636286T2 (de) Plasmaunterstützter chemischer reaktor und verfahren
DE69409459T2 (de) Verfahren und Gerät zur Plasmabearbeitung von Werkstücken
EP0517999B1 (de) Vorrichtung für reaktive Ionenätz- und plasmaunterstützte CVD-Verfahren
DE69425203T2 (de) Gas-Zuführungssystem für ein Plasma-Reaktor
DE69935321T2 (de) Verfahren und vorrichtung zur ionisierten physikalischen dampfabscheidung
DE69206187T2 (de) Gerät für Plasmaverfahren.
DE69736977T2 (de) Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger
DE4310941B4 (de) Verfahren zum Erzeugen einer Niederspannungsentladung, Vakuumbehandlungsanlage hierfür sowie Verwendung des Verfahrens
DE19781667B4 (de) Plasmaerzeugungsverfahren und -gerät mit einer induktiv gekoppelten Plasmaquelle
DE69128345T2 (de) Induktiver plasmareaktor im unteren hochfrequenzbereich
DE69309164T2 (de) Mehrelektrischen Plasma Behandlungsgerät
EP0534066B1 (de) Lichtbogen-Beschichtungsanlage mit zusätzlicher Ionisationsanode
DE69034092T2 (de) Vorrichtung zur Behandlung von Proben
DE3913463C2 (de)
DE2943862A1 (de) Ionenquelle und ionenaetzverfahren
DE4105103C2 (de)
DE4107329A1 (de) Verfahren und geraet zum reinigen von halbleitereinrichtungen
DE69737311T2 (de) Vorrichtung zur Erzeugung eines Plasmas mit Entladung entlang einer magnetisch-neutralen Linie
EP2087503B1 (de) Vorrichtung zum vorbehandeln von substraten
DE29800950U1 (de) Plasmarektor mit Prallströmung zur Oberflächenbehandlung
DE102004019741B4 (de) Plasmareaktor zur Oberflächenmodifikation von Gegenständen
DE1790178A1 (de) Kathoden-Zerstaeubungsvorrichtung
DE102022102768A1 (de) Symmetrischer Prozessreaktor

Legal Events

Date Code Title Description
8364 No opposition during term of opposition