DE69604212D1 - Plasmareaktor - Google Patents

Plasmareaktor

Info

Publication number
DE69604212D1
DE69604212D1 DE69604212T DE69604212T DE69604212D1 DE 69604212 D1 DE69604212 D1 DE 69604212D1 DE 69604212 T DE69604212 T DE 69604212T DE 69604212 T DE69604212 T DE 69604212T DE 69604212 D1 DE69604212 D1 DE 69604212D1
Authority
DE
Germany
Prior art keywords
ceiling
winding
reactor chamber
power source
side wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69604212T
Other languages
English (en)
Other versions
DE69604212T2 (de
Inventor
Hiroji Hanawa
Gerald Zheyao Yin
Diana Xiaobing Ma
Philip M Salzman
Peter K Loewenhardt
Allen Zhao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23540169&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69604212(D1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69604212D1 publication Critical patent/DE69604212D1/de
Publication of DE69604212T2 publication Critical patent/DE69604212T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Chemical Vapour Deposition (AREA)
DE69604212T 1995-02-15 1996-01-23 Plasmareaktor Expired - Fee Related DE69604212T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/389,889 US5753044A (en) 1995-02-15 1995-02-15 RF plasma reactor with hybrid conductor and multi-radius dome ceiling

Publications (2)

Publication Number Publication Date
DE69604212D1 true DE69604212D1 (de) 1999-10-21
DE69604212T2 DE69604212T2 (de) 2000-03-23

Family

ID=23540169

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69604212T Expired - Fee Related DE69604212T2 (de) 1995-02-15 1996-01-23 Plasmareaktor

Country Status (6)

Country Link
US (1) US5753044A (de)
EP (1) EP0727807B1 (de)
JP (1) JPH08321490A (de)
KR (1) KR960032622A (de)
AT (1) ATE184729T1 (de)
DE (1) DE69604212T2 (de)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5964949A (en) * 1996-03-06 1999-10-12 Mattson Technology, Inc. ICP reactor having a conically-shaped plasma-generating section
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5944899A (en) * 1996-08-22 1999-08-31 Applied Materials, Inc. Inductively coupled plasma processing chamber
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
KR100505176B1 (ko) 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
EP0865079A3 (de) * 1997-03-13 1999-10-20 Applied Materials, Inc. Verfahren zur Beseitigung von auf geätzten Platinflächen abgelagerten Verunreinigungen
US6210539B1 (en) * 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6369349B2 (en) 1997-06-30 2002-04-09 Applied Materials, Inc. Plasma reactor with coil antenna of interleaved conductors
WO1999010913A1 (en) 1997-08-26 1999-03-04 Applied Materials, Inc. An apparatus and method for allowing a stable power transmission into a plasma processing chamber
US6028395A (en) * 1997-09-16 2000-02-22 Lam Research Corporation Vacuum plasma processor having coil with added conducting segments to its peripheral part
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
TW344859B (en) * 1997-09-23 1998-11-11 United Microelectronics Corp Method for increasing the etching rate of silicon-oxy-nitride
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6265318B1 (en) 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
US6015476A (en) * 1998-02-05 2000-01-18 Applied Materials, Inc. Plasma reactor magnet with independently controllable parallel axial current-carrying elements
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
WO1999059201A1 (en) * 1998-05-11 1999-11-18 Applied Materials Inc Polished ceramic chuck for low backside particles in semiconductor plasma processing
US6660134B1 (en) * 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6139679A (en) * 1998-10-15 2000-10-31 Applied Materials, Inc. Coil and coil feedthrough
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6391220B1 (en) 1999-08-18 2002-05-21 Fujitsu Limited, Inc. Methods for fabricating flexible circuit structures
US6518190B1 (en) 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
KR20010063770A (ko) * 1999-12-24 2001-07-09 황 철 주 플라즈마를 이용하는 반도체소자 제조장치 및 이 장치를이용한 박막형성방법
US6833079B1 (en) * 2000-02-17 2004-12-21 Applied Materials Inc. Method of etching a shaped cavity
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6462481B1 (en) 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
WO2002005308A2 (en) * 2000-07-06 2002-01-17 Applied Materials, Inc. A plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6592429B1 (en) 2000-07-28 2003-07-15 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity in a chemical mechanical polishing tool using carrier head signatures
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6503845B1 (en) 2001-05-01 2003-01-07 Applied Materials Inc. Method of etching a tantalum nitride layer in a high density plasma
JP2005503648A (ja) * 2001-09-14 2005-02-03 東京エレクトロン株式会社 プラズマリアクタ・コイルマグネット・システム
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
KR100470973B1 (ko) * 2003-02-26 2005-03-10 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정
US20040163595A1 (en) * 2003-02-26 2004-08-26 Manabu Edamura Plasma processing apparatus
US7871490B2 (en) * 2003-03-18 2011-01-18 Top Engineering Co., Ltd. Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
JP4657620B2 (ja) * 2004-04-13 2011-03-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2006237479A (ja) * 2005-02-28 2006-09-07 Mitsubishi Heavy Ind Ltd プラズマ処理装置
KR100769138B1 (ko) * 2005-10-12 2007-10-22 동부일렉트로닉스 주식회사 고밀도 플라즈마 화학기상 증착 방법을 이용한 플라즈마산화막 형성장치 및 형성방법
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP5391209B2 (ja) 2009-01-15 2014-01-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5155235B2 (ja) * 2009-01-15 2013-03-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
US20110293853A1 (en) * 2009-02-13 2011-12-01 Mitsui Engineering & Shipbuilding Co., Ltd Thin film forming apparatus and thin film forming method
US9336996B2 (en) 2011-02-24 2016-05-10 Lam Research Corporation Plasma processing systems including side coils and methods related to the plasma processing systems
US20140187045A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10211030B2 (en) * 2015-06-15 2019-02-19 Applied Materials, Inc. Source RF power split inner coil to improve BCD and etch depth performance
US11521828B2 (en) 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
JP7203869B2 (ja) * 2021-01-18 2023-01-13 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、およびプログラム
CN116752121B (zh) * 2023-06-15 2024-05-14 拓荆科技(上海)有限公司 一种盖板以及流体气相沉积装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE3738352A1 (de) * 1987-11-11 1989-05-24 Technics Plasma Gmbh Filamentloses magnetron-ionenstrahlsystem
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5234529A (en) * 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
EP0552491B1 (de) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5280154A (en) * 1992-01-30 1994-01-18 International Business Machines Corporation Radio frequency induction plasma processing system utilizing a uniform field coil
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
EP0685873B1 (de) * 1994-06-02 1998-12-16 Applied Materials, Inc. Induktiv gekoppelter Plasmareaktor mit einer Elektrode zur Erleichterung der Plasmazündung

Also Published As

Publication number Publication date
KR960032622A (ko) 1996-09-17
DE69604212T2 (de) 2000-03-23
ATE184729T1 (de) 1999-10-15
EP0727807B1 (de) 1999-09-15
JPH08321490A (ja) 1996-12-03
EP0727807A1 (de) 1996-08-21
US5753044A (en) 1998-05-19

Similar Documents

Publication Publication Date Title
DE69604212D1 (de) Plasmareaktor
EP0788138A3 (de) Plasmareaktoren für die Behandlung von Substraten
ATE181637T1 (de) Plasmareaktoren zur halbleiterscheibenbehandlung
TW279240B (en) Parallel-plate icp source/rf bias electrode head
TW362238B (en) Microwave plasma processing apparatus
EP1209251A3 (de) System zur Temperaturreglung eines Wafers
WO2000058995A3 (en) Apparatus for improving plasma distribution and performance in an inductively coupled plasma
EP0693769A3 (de) Plasma Reaktor mit erhöter Plasmahomogeneität durch Gaszugabe, reduzierter Kammer-Durchmesser und reduzierter Durchmesser des RF Scheibenhalters
DE69509046D1 (de) Plasmareaktoren zur Behandlung von Halbleiterscheiben
EP0742577A3 (de) Induktiv und Multi-Kapazitiv gekoppelter Plasmareaktor
ATE127615T1 (de) Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
DK0413282T3 (da) Fremgangsmåde og apparat til tilvejebringelse af magnetisk koblet plant plasma
TW349234B (en) RF plasma reactor with hybrid conductor and multi-radius dome ceiling
ATE396494T1 (de) Plasmabearbeitungsgerät
WO2002059933A3 (en) Vertically translatable chuck assembly and method for a plasma reactor system
IS7209A (is) Tæki til að meðhöndla afurðir með gasi
KR100248460B1 (en) Processing system
ATE211854T1 (de) Polyzid-ätzverfahren für submikron-gate-stapel
DE69311614T2 (de) Wirbelbettreaktor
DK0908923T3 (da) Apparatur til tilvejebringelse af en udbredt induktiv plasma til plasmabearbejdning
JP2002531914A5 (de)
WO2003041136A1 (fr) Dispositif d'exposition a faisceau d'electrons
KR20040053966A (ko) 분리된 알에프 파워를 갖는 플라즈마 발생 시스템
EP0481198A3 (en) Scanned electron cyclotron resonance plasma source
JPH07235393A (ja) プラズマ発生装置

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee