DE69028518D1 - Verfahren sowie gerät zur messung der schichtanordnung in einem halbleiter-wafer - Google Patents

Verfahren sowie gerät zur messung der schichtanordnung in einem halbleiter-wafer

Info

Publication number
DE69028518D1
DE69028518D1 DE69028518T DE69028518T DE69028518D1 DE 69028518 D1 DE69028518 D1 DE 69028518D1 DE 69028518 T DE69028518 T DE 69028518T DE 69028518 T DE69028518 T DE 69028518T DE 69028518 D1 DE69028518 D1 DE 69028518D1
Authority
DE
Germany
Prior art keywords
wafer
measurement
semiconductor wafer
calculated
measuring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69028518T
Other languages
English (en)
Other versions
DE69028518T2 (de
Inventor
Stephen Into
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Schlumberger Technology Corp
Original Assignee
Interactive Video Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interactive Video Systems Inc filed Critical Interactive Video Systems Inc
Publication of DE69028518D1 publication Critical patent/DE69028518D1/de
Application granted granted Critical
Publication of DE69028518T2 publication Critical patent/DE69028518T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
DE69028518T 1989-02-09 1990-02-08 Verfahren sowie gerät zur messung der schichtanordnung in einem halbleiter-wafer Expired - Fee Related DE69028518T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/308,253 US4938600A (en) 1989-02-09 1989-02-09 Method and apparatus for measuring registration between layers of a semiconductor wafer
PCT/US1990/000741 WO1990009558A1 (en) 1989-02-09 1990-02-08 Method and apparatus for measuring registration between layers of a semiconductor wafer

Publications (2)

Publication Number Publication Date
DE69028518D1 true DE69028518D1 (de) 1996-10-17
DE69028518T2 DE69028518T2 (de) 1997-04-24

Family

ID=23193206

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69028518T Expired - Fee Related DE69028518T2 (de) 1989-02-09 1990-02-08 Verfahren sowie gerät zur messung der schichtanordnung in einem halbleiter-wafer

Country Status (6)

Country Link
US (1) US4938600A (de)
EP (1) EP0457843B1 (de)
JP (1) JPH04503410A (de)
AT (1) ATE142775T1 (de)
DE (1) DE69028518T2 (de)
WO (1) WO1990009558A1 (de)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2640040B1 (fr) * 1988-12-05 1994-10-28 Micro Controle Procede et dispositif de mesure optique
DE3924989A1 (de) * 1989-07-28 1991-02-07 Roland Man Druckmasch Vorrichtung zur durchfuehrung einer umfassenden qualitaetskontrolle an druckbogen
US5237393A (en) * 1990-05-28 1993-08-17 Nec Corporation Reticle for a reduced projection exposure apparatus
US5280437A (en) * 1991-06-28 1994-01-18 Digital Equipment Corporation Structure and method for direct calibration of registration measurement systems to actual semiconductor wafer process topography
JP2523227Y2 (ja) * 1991-07-30 1997-01-22 株式会社堀場製作所 異物検査装置
JP2756620B2 (ja) * 1992-01-10 1998-05-25 キヤノン株式会社 半導体露光方法およびその装置
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5394100A (en) * 1993-05-06 1995-02-28 Karl Suss America, Incorporated Probe system with automatic control of contact pressure and probe alignment
JP3039210B2 (ja) * 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5699282A (en) * 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
US6465322B2 (en) * 1998-01-15 2002-10-15 Koninklijke Philips Electronics N.V. Semiconductor processing methods and structures for determining alignment during semiconductor wafer processing
US5919714A (en) * 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
CN1238882C (zh) 1998-12-02 2006-01-25 纽波特公司 试片夹持机械手末端执行器
US6357996B2 (en) 1999-05-14 2002-03-19 Newport Corporation Edge gripping specimen prealigner
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6384408B1 (en) * 1999-08-11 2002-05-07 Kla-Tencor Corporation Calibration of a scanning electron microscope
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US7200459B1 (en) * 2000-01-04 2007-04-03 Advanced Micro Devices, Inc. Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
US7095885B1 (en) * 2000-03-01 2006-08-22 Micron Technology, Inc. Method for measuring registration of overlapping material layers of an integrated circuit
US20030020889A1 (en) * 2000-08-02 2003-01-30 Nikon Corporation Stage unit, measurement unit and measurement method, and exposure apparatus and exposure method
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
EP1184725A1 (de) * 2000-09-04 2002-03-06 Infineon Technologies SC300 GmbH & Co. KG Verfahren zur Einstellung eines lithographischen Gerätes
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
DE10065120C2 (de) * 2000-12-28 2003-03-20 Inb Vision Ag Verfahren zur Bestimmung der Abweichung des Pixelortes der Pixel mindestens einer Bildaufnahmematrix von der Sollposition
US6436595B1 (en) 2001-02-08 2002-08-20 International Business Machines Corporation Method of aligning lithographically printed product layers using non-zero overlay targets
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7201936B2 (en) 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US7433509B1 (en) * 2001-10-09 2008-10-07 Nanometrics Incorporated Method for automatic de-skewing of multiple layer wafer for improved pattern recognition
US6936826B2 (en) 2001-11-07 2005-08-30 Soluris, Inc. Vibration-isolating coupling including an elastomer diaphragm for scanning electron microscope and the like
DE20118356U1 (de) * 2001-11-12 2002-02-14 Ahauser Tiefdruck Gravuren Gmb Vorrichtung zur Darstellung und Vermessung von Mikrostrukturen auf Oberflächen
US7225047B2 (en) 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6672716B2 (en) * 2002-04-29 2004-01-06 Xerox Corporation Multiple portion solid ink stick
WO2004013715A1 (en) 2002-08-01 2004-02-12 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7333871B2 (en) 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US7205228B2 (en) 2003-06-03 2007-04-17 Applied Materials, Inc. Selective metal encapsulation schemes
US7354332B2 (en) 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
US7356377B2 (en) 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
US7096085B2 (en) 2004-05-28 2006-08-22 Applied Materials Process control by distinguishing a white noise component of a process variance
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7625679B2 (en) * 2005-09-23 2009-12-01 Applied Materials, Inc. Method of aligning a particle-beam-generated pattern to a pattern on a pre-patterned substrate
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
CN107202555B (zh) * 2017-04-28 2021-01-05 广东工业大学 一种连杆加工旋转盘夹具视觉检测装置和检测方法
CN115917720A (zh) * 2020-06-25 2023-04-04 科磊股份有限公司 用于改善半导体装置的不对齐及不对称性的小波系统及方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU562756A2 (ru) * 1975-05-28 1977-06-25 Предприятие П/Я Р-6681 Дифференциальный рефрактометр
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4536239A (en) * 1983-07-18 1985-08-20 Nicolet Instrument Corporation Multi-layer circuit board inspection system
US4742233A (en) * 1986-12-22 1988-05-03 American Telephone And Telgraph Company Method and apparatus for automated reading of vernier patterns
FR2640040B1 (fr) * 1988-12-05 1994-10-28 Micro Controle Procede et dispositif de mesure optique

Also Published As

Publication number Publication date
JPH04503410A (ja) 1992-06-18
EP0457843B1 (de) 1996-09-11
WO1990009558A1 (en) 1990-08-23
EP0457843A1 (de) 1991-11-27
US4938600A (en) 1990-07-03
DE69028518T2 (de) 1997-04-24
EP0457843A4 (en) 1993-06-02
ATE142775T1 (de) 1996-09-15

Similar Documents

Publication Publication Date Title
DE69028518T2 (de) Verfahren sowie gerät zur messung der schichtanordnung in einem halbleiter-wafer
US4722600A (en) Apparatus and method for measuring strain
KR100291258B1 (ko) 높은정확도로레티클을검사할수있는소형레티클검사시스템,및레티클을검사하는방법
JP2000021766A5 (de)
JPH0534606B2 (de)
JPS59119204A (ja) マ−ク位置検出方法
US4650334A (en) Optical straightness gauge and method
US3982837A (en) Method and apparatus for calibrating Reseau grids
US6275621B1 (en) Moire overlay target
CN105807579B (zh) 一种硅片和基板预对准测量装置和方法
JPS60210839A (ja) レチクルおよびその検査方法
JP3636246B2 (ja) 露光装置の調整方法
Candela et al. An Ellipsometry System for High Accuracy Metrology of Thin Films
JPH06331653A (ja) X−y回路基板検査装置におけるプローブ間誤差測定方法
SU1634973A1 (ru) Способ контрол точности нанесени делений на равноделенных объектах
CN103453847A (zh) 一种用于运动台误差定位误差校准的方法
KR19990057662A (ko) 반도체 노광장비의 정렬 정밀도 측정방법
JPH09275060A (ja) 位置決め方法および露光装置
Barakat et al. An accurate method for calculating the central fractional order of interference in the Fabry-Perot interferometer
SU813129A1 (ru) Мера толщины пленок
JPH05136021A (ja) レジストレーシヨン測定装置
JPH0390805A (ja) 基板表面平滑性測定装置
JPS5892927A (ja) レンズのmtf測定方法
JPS6165101A (ja) 長さ測定器
JPH04203911A (ja) 表面歪測定方法及び装置

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee