DE60107531T2 - Abtastverzögerungskette zur Verzögerungsmessung - Google Patents

Abtastverzögerungskette zur Verzögerungsmessung Download PDF

Info

Publication number
DE60107531T2
DE60107531T2 DE60107531T DE60107531T DE60107531T2 DE 60107531 T2 DE60107531 T2 DE 60107531T2 DE 60107531 T DE60107531 T DE 60107531T DE 60107531 T DE60107531 T DE 60107531T DE 60107531 T2 DE60107531 T2 DE 60107531T2
Authority
DE
Germany
Prior art keywords
scan
delay
boundary
test data
chain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60107531T
Other languages
English (en)
Other versions
DE60107531D1 (de
Inventor
Josef Schmid
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of DE60107531D1 publication Critical patent/DE60107531D1/de
Application granted granted Critical
Publication of DE60107531T2 publication Critical patent/DE60107531T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in
    • G01R31/31858Delay testing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

  • Die Erfindung betrifft ein Verfahren zur Erzielung von Verzögerungsmessungsfunktionalität für eine Boundary-Scan-Zelle, eine integrierte Schaltung und eine elektrische Einrichtung mit Verzögerungsmessungsfunktionalität und ein Verfahren zur Durchführung von Verzögerungsmessungen.
  • Wie Fachleuten bekannt ist, ist der Entwurf von komplexen ASICs (Anwendungsspezifischen Integrierten Schaltungen), SOCs (System-auf-Chip) und/oder ICs (Integrierten Schaltungen) gleichzeitig mit erforderlichen Qualitätsniveaus ein großes Problem. Auf dieser Basis ist es häufig sehr wichtig, daß ASICs/SOCs/ICs, die in der folgenden Beschreibung allgemein als integrierte Schaltung bezeichnet werden, einen leicht zugänglichen Verzögerungsmessungsweg besitzen. Die Verzögerung eines langen Weges, der über die gesamte integrierte Schaltung (quer über den Chip) eines elektrischen Bausteins durch viele Instanzen hindurch, wie z.B. Inverter und/oder Multiplexer, verläuft, ermöglicht eine Messung von Endprozeßparametern der integrierten Schaltung innerhalb eines Produktionsprozeßfensters für den besten Fall und den ungünstigsten Fall.
  • Diese Informationen sind gewöhnlich für die Produktionsprüfung auf der Vertreiberseite sehr wichtig. Sehr häufig sind diese Informationen aber auch auf der Ebene des Schaltungspakets oder der PCB (Leiterplatte), der Prüfung auf Systemebene und/oder sogar am Einsatzort notwendig, und zum Beispiel im Fall von Problemen bei der Funktionalität, Zeitsteuerung und/oder beim Debuggen sollte das „Qualitätsniveau", das von der integrierten Schaltung erreicht wird, bestimmt werden. Zusätzlich kann auch für die Charakterisierung der Verzögerungsmodellierung während verschiedener Entwurfsphasen, wie zum Beispiel Schätzung, Grundflächenplanung, Versuchen und/oder letztes Layout, ein eigener Verzögerungsweg dabei helfen, die Verzögerungsmodelle zusammen mit den topologischen Informationen der Datenbank einzustufen.
  • Insbesondere ist es also für eine Qualitätsbewertung eines elektrischen Bausteins, für einen Entwurfsfluß und/oder einen Vertreiber, der mit komplexen integrierten Schaltungen handelt, sehr wichtig zu wissen, wie genau die Zeitsteuerungsmodellierung zu den echten Bausteinen paßt, um die Zeitsteuerungsspanne zwischen Simulationsmodellen und ihren entsprechenden realen Bausteinen abzuschätzen. Wie bereits erwähnt ist es im Falle von Bausteinproblemen wichtig, die relative Bausteinleistungsfähigkeit innerhalb von Prozeßvariationen vom besten Fall bis zum ungünstigsten Fall zu kennen. Das Prozeßqualitätsniveau kann bestimmt werden, wenn eine auf einer integrierten Schaltungsprobe gemessene Verzögerung mit der Verzögerung verglichen werden kann, die durch eine Zeitsteuerungssimulation oder durch STA (Statistische Zeitsteuerungsanalyse) mit bestimmten Prozeßqualitätsannahmen berechnet wurde.
  • Zur Zeit umfaßt ein Verfahren zur Bestimmung eines Qualitätsniveaus einer komplexen integrierten Schaltung ein einfaches Verzögerungs-Strobing an dem (Produktions-) Tester, das nur ein Bestanden- oder Durchgefallen-Ergebnis angibt, aber keinen exakten Verzögerungswert. Ein weiterer Ansatz umfaßt die Definition eines mehr oder weniger zufälligen Verzögerungsweges in dem Entwurf, was zu einer Ad-hoc- und Kein-Standard-Lösung bezüglich Topologie, Implementierung, Zugang und Initialisierung führt.
  • Bei weiteren bekannten Lösungen für die Verzögerungsmessung und Prozeßcharakterisierung werden ein sogenannter NAND-Baum und/oder eine PROCMON-Zelle, d.h eine Prozeßüberwachungszelle, verwendet, die beide zusätzliche Instanzen erfordern, wodurch einige Bemühungen für die Implementierung, Initialisierung und/oder den Zugriff notwendig werden, und die vertreiberabhängig sein könnten, wie z.B. von LSI Logic, „Design Manual and Procmon Application Note" oder Texas Instruments „GS30 Design Manual and TDL Documentation" bekannt.
  • Der Leser wird auf die europäischen Patentanmeldungen EP-A-0822497 und EP-A-0994361 als Hintergrund für die vorliegende Erfindung verwiesen.
  • Die vorliegende Erfindung stellt ein Verfahren zur Durchführung von Verzögerungsmessungen einer durch einen Produktionsprozeß hergestellten integrierten Schaltung bereit, wobei die integrierte Schaltung eine Scan-Kette für Boundary-Scan-Prüfbarkeit aufweist, mit den folgenden Schritten:
    • – Eintreten in einen Verzögerungsmeßmodus;
    • – Anlegen eines Testdatensignals an einen Testdateneingang (TDI) für Boundary-Scan-Prüfbarkeit;
    • – Durchführen der Verzögerungsmessung an einem separaten Verzögerungskettenausgangsport (DCO), der der Ausgang eines lokalen kombinatorischen Weges ist und der zusätzlich zu dem Testdatenausgang (TDO) für Boundary-Scan-Prüfbarkeit vorliegt, um die Leistungsfähigkeit der integrierten Schaltung zu bestimmen; und der kombinatorische Weg stört nicht den Betrieb der Scan-Kette, weil der Verzögerungskettenausgang (DCO) in einem Tristate-Zustand gehalten wird, oder indem der Dateneingangsanschluß (TDI) auf Betriebsspannung (VDD) gezogen wird.
  • Bevorzugte Ausführungsformen der Erfindung stellen vorteilhafterweise einen neuen und verbesserten Ansatz für die Verzögerungsmessung einer integrierten Schaltung bereit, der im wesentlichen von Vertreibern, Technologie und/oder verwendeten Entwicklungswerkzeugen unabhängig ist, mit einem Minimum an Implementierungsbemühungen bezüglich Entwurfszeit und Gatterbetriebsmitteln.
  • Bevorzugte Ausführungsformen stellen eine Verzögerungsmessungsfunktionalität bereit, die mit geringen Kosten produziert werden kann und die einen langen Weg über eine integrierte Schaltung abdecken kann und einen leichten Zugang und leichte Initialisierung gewährleistet, um die Verzögerungsmessung durchzuführen, ohne daß ein komplizierter und/oder kostspieliger IC-Tester notwendig ist.
  • Die erfindungsgemäße Lösung wird durch das Verfahren nach Anspruch 1 erzielt. Vorteilhafte und/oder bevorzugte Verfeinerungen und/oder Entwicklungen sind der Gegenstand der jeweiligen abhängigen Ansprüche.
  • Es wird vorgeschlagen, mindestens eine Boundary-Scan-Zelle bereitzustellen, die jeweils eine Speicherungsschicht zwischen einem Scan-Eingangsport und einem Scan-Ausgangsport aufweist, mit einer Konstruktion zur Verwendung in einer Scan-Kette einer integrierten Schaltung für Boundary-Scan-Prüfbarkeit, um jede der Boundary-Scan-Zellen zu analysieren, um einen redundanten Zustand zu identifizieren, der zur Erweiterung der Scan-Zellen verwendet wird, indem ein zusätzlicher lokaler Weg zwischen dem jeweiligen Scan-Eingangs- und Scan-Ausgangsport erzeugt wird, wodurch die jeweilige Speicherungsschicht umgangen wird, und um jede Scan-Zelle in der integrierten Schaltung durch Erzeugen der Scan-Kette zu implementieren.
  • Einer der Vorteile der vorliegenden Erfindung besteht darin, daß durch den lokalen Umgehungsweg der Scan-Eingangsport und der Scan-Ausgangsport auf rein kombinatorische Weise verbunden werden, um eine Verzögerungskette durchzuführen, die alle Eingangs-, Ausgangs- und bidirektionalen Boundary-Scan-Zellen enthalten kann.
  • Aufgrund des Umstands, daß ein redundanter Zustand für die Bereitstellung von Verzögerungsmessungsfunktionalität verwendet wird, entspricht darüber hinaus der erfindungsgemäße Ansatz immer noch den ursprünglichen Boundary-Prüfbarkeitsanforderungen.
  • Durch Verwendung einer Boundary-Scan-Zelle für Verzögerungsmessungen mit einer funktionalen Schicht und einer Speicherungsschicht, die für Verzögerungsmessungen umgangen wird, sind keine zusätzlichen Ports und/oder Testsignale für die in der erfindungsgemäßen Boundary-Scan-Zellen-Verzögerungskette verwendeten Boundary-Scan-Zellen notwendig. Da weiterhin keine zusätzlichen Entwurfsinstanzen oder Konnektivität notwendig sind, weil nur kommerzielle oder Benutzerbibliothekselemente intern modifiziert werden und die Zellenports immer noch dieselben sind, sind die Implementierungsbemühungen bezüglich Entwurfszeit und Gatterbetriebsmittel minimal und im wesentlichen von Vertreibern, Technologie und verwendeten Entwicklungswerkzeugen unabhängig.
  • Um eine relativ lange Verzögerungskette zur Messung zu erhalten, um den Einfluß fester Meßfehler zu verringern und um vorzugsweise die Verzögerungskette über den gesamten Chip zu verteilen, um mehrere Prozeßvarianzen des Bausteins abzudecken, wird vorgeschlagen, sogenannte Boundary-Scan-Zellen zu verwenden, wodurch im wesentlichen jede zusätzliche topologische Auswirkung vermieden wird, weil nur die Boundary-Scan-Zellen gemäß den Boundary-Scan- und funktionalen Anforderungen plaziert und geroutet werden müssen. Da es im allgemeinen obligatorisch ist, solche Boundary-Scan-Zellen für eine Boundary-Scan-Kette in komplexen ASICs, SOCs und/oder ICs zu verwenden, wird die Verzögerungskette als eine vorteilhafte Konsequenz automatisch implementiert.
  • Gemäß einer besonders bevorzugten Ausführungsform wird vorgeschlagen, Boundary-Scan-Zellen gemäß dem IEEE-Standard 1149.1 zu verwenden, d.h. eine Standardmethodologie zur Verbindungsprüfung auf PCB, oder gemäß einem von dem IEEE-Standard 1149.1 abgeleiteten Standard.
  • Weiterhin wird gemäß einer weiteren bevorzugten Ausführungsform vorgeschlagen, den lokalen Umgehungsweg und die Speicherungsschicht einer jeweiligen Scan-Zelle über einen Multiplexer, der durch das Verschiebungssignal aus einer gegebenen Testzugangsportsteuerung gesteuert wird, mit dem Scan-Ausgangsport zu verbinden, um eine Verzögerung zwischen den Schieberegistern hinzuzufügen, um die Haltezeitreserve zu erhöhen, d.h. um eine Versatzsicherheitsreserve für den Prüftakt (TCK) bereitzustellen.
  • Der lokale Umgehungsweg kann zusätzliche Bibliothekselemente für weitere Verzögerung oder Pegeleinstellung, wie zum Beispiel Inversionen, umfassen.
  • Darüber hinaus ist, da im wesentlichen keine zusätzlichen Testports und/oder Signale notwendig sind, eine leichtere Initialisierung und Simulationseinrichtung sowie eine Verzögerungsmessung ohne einen gewöhnlichen komplexen ASIC/IC-Tester sogar auf der Leiterplatte garantiert. Auf dieser Basis umfaßt das bevorzugte Verfahren zur Durchführung der Verzögerungsmessung einer integrierten Schaltung oder eines elektrischen Bausteins mit einer integrierten Schaltung mit einer erfindungsgemäßen Verzögerungskette lediglich das Eintreten in den Verzögerungsmeßmodus vorzugsweise durch Versetzen der Boundary-Scan-TAP-Steuerung in einen Test-Logik-Rücksetz-Zustand (TRSTN =0) und durch Anwenden eines Datenübergangs an den Testdateneingang (TDI). Die Verzögerungsmessung selbst kann für die Scan-Prüfbarkeit an dem gegebenen Testdatenausgangsweg (BSR_TDO) durchgeführt werden.
  • Abhängig von spezifischen Bausteinarchitekturen kann ein zusätzlicher Verzögerungskettenausgangsport (DCO) vorgesehen werden, der mit dem Testdatenausgangsweg (BSR_TDO) verbunden ist.
  • Auch wenn Boundary-Scan-Zellen gewöhnlich bei verschiedenen Vertreibern und/oder Technologien aus verschiedenen Logikzellen bestehen, sind keine speziellen Logikzellen erforderlich. Durch Modifizieren solcher Scan-Zellen gemäß dem erfindungsgemäßen Ansatz wird somit eine Verzögerungskette sichergestellt, die im Gegensatz zu vorbekannten vertreiberspezifischen Verzögerungsketten im wesentlichen vertreiber- und technologieunabhängig ist und darüber hinaus weiterhin Boundary-Scan-Prüfkompatibilität enthält. Da auf die erfindungsgemäße Verzögerungskette zugegriffen werden kann, indem zwei Testanschlüsse oder -ports auf einen bestimmten Wert gesetzt werden, wobei solche Testanschlüsse aufgrund der Anforderungen von IEEE 1149.1 im voraus gegeben und leicht auf der Leiterplatte zugänglich sind und/oder von anderen Testanschlüssen gemeinsam benutzt werden können, sind keine zusätzlichen Anschlüsse erforderlich.
  • Der erfindungsgemäße Ansatz führt also zu einer neuen und verbesserten Standardmethodologie, die sich an die Probleme der Verzögerungsmessung und der Bestimmung des „Qualitätsniveaus" für integrierte Schaltungen im allgemeinen wendet.
  • Die Erfindung wird im folgenden ausführlicher beschrieben, insbesondere auf der Grundlage einer besonders bevorzugten Ausführungsform und mit Bezug auf die beigefügten Zeichnungen. Es zeigen:
  • 1 ein Schaltbild einer gemäß der Erfindung modifizierten bevorzugten Boundary-Scan-Zelle,
  • 2 ein Schaltbild einer standardisierten Boundary-Scan-Zelle vor der Modifikation gemäß 1,
  • 3 schematisch einen Chip mit einer durch Reihenschaltung von Scan-Zellen gemäß 1 gebildeten Boundary-Scan-Verzögerungskette, und
  • 4 schematisch eine erfindungsgemäß modifizierte Boundary-Scan-Verzögerungskettenarchitektur auf der Basis von Boundary-Scan-Zellen gemäß dem IEEE-Standard 1149.1.
  • Für die folgende Beschreibung und für die angefügten Ansprüche werden komplexe ASICs, SOCs und ICs allgemein als integrierte Schaltung bezeichnet. Wie Fachleuten bekannt ist, werden gewöhnliche Scan-Zellen, die verbunden sind, um Schieberegister zu bilden, häufig aus Gründen der Scan-Prüfbarkeit in integrierte Schaltungen integriert oder implementiert.
  • Auf dieser Basis wird auf 1 und 2 Bezug genommen, die schematisch eine Boundary-Scan-Zelle mit einer Verzögerungsmessungsfunktionalität gemäß der Erfindung bzw. die standardisierte Boundary-Scan-Zelle im Stand der Technik ohne diese Funktionalität zeigen. Für ein besseres Verständnis der bevorzugten beispielhaften Verfeinerung der Erfindung auf der Basis einer Boundary-Scan-Zelle (2) gemäß dem IEEE-Standard 1149.1 wird auf den IEEE-Standard 1149.1 – 1990 „Test Access Port and Boundary-Scan Architecture", verwiesen.
  • Wie aus 1 und 2 zu sehen ist, ist die Boundary-Scan-Zelle zwischen funktionale Wege geschaltet, wie zum Beispiel zwischen Eingangspuffer zum Kern oder zwischen Kern zu Ausgangspuffern durch einen parallelen Eingangsport PI und einen parallelen Ausgangsport PO. Im Normalmodus, d.h. im Funktionalmodus, wird der Eingangsport PI durch Setzen des Modussignals auf „0" direkt mit dem Ausgangsport PO verbunden.
  • Zwischen einem Scan-Eingangsport SI und einem Scan-Ausgangsport SO ist eine Speicherungsschicht integriert, um Schieberegister zu bilden, indem der Scan-Ausgangsport SO mit dem Scan-Eingangsport SI einer weiteren Scan-Zelle in Reihe geschaltet wird, um eine Scan-Kette zu erzeugen, wie beispielhaft in 3 abgebildet, worin ein Chip mit einer solchen Boundary-Scan-Kette gezeigt ist. Die Steuersignale für die Schiebe-, Erfassungs- und Haltefunktionalität sowie das Modussignal werden durch eine Steuerung für den Testzugriffsport (TAP) auf der Basis der bevorzugten Ausführungsform gemäß dem IEEE-Standard 1149.1 erzeugt.
  • Mit Bezug auf den Stand der Technik gemäß 2 sind die Informationen an dem Scan-Ausgangsport SO nur im Schiebemodus von Interesse und die Ausgabe SO ist daher gleich Q. Wie aus 2 zu sehen ist, worin die bekannte gewöhnliche Boundary-Scan-Zelle gezeigt ist, ist in allen anderen Fällen, d.h. wenn Schieben auf „0" gesetzt wird, um inaktiv zu sein, der Ausgangsport SO jedoch völlig redundant.
  • Gemäß dem in 1 abgebildeten erfindungsgemäßen Ansatz wird dieser redundate Status der gewöhnlichen Boundary-Scan-Zelle dazu verwendet, einen zusätzlichen kombinatorischen Verzögerungsweg zwischen dem Eingangsport SI und dem Ausgangsport SO zu erzeugen.
  • Zur Realisierung eines solchen zusätzlichen kombinatorischen Verzögerungsweges wird die Speicher ungsschicht zwischen dem Eingangs- und Ausgangsport SI und SO durch den erfindungsgemäßen lokalen Weg BP umgangen.
  • Gemäß der bevorzugten aber beispielhaften Ausführungsform von 1 werden der zusätzliche lokale Umgehungsweg BP und die Speicherungsschicht über einen zusätzlichen Multiplexer MUX, der auch durch das Schiebesignal aus der TAP-Steuerung gesteuert wird, verbunden. Folglich und auf der Basis dieser Verbesserung kann in einen Verzögerungsmodus eingetreten werden, indem der Schiebemodus als inaktiv, d.h. Schieben auf „0", gesetzt wird. Während dieses Verzögerungsmodus ist der Scan-Ausgangsport SO nicht redundant, sondern befindet sich in funktionaler Abhängigkeit von dem Eingangsport SI, d.h. SO = f(SI).
  • Durch Setzen des Schiebemodus auf aktiv, um an dem Scan-Ausgangsport SO die Information Q zu erhalten, d.h. wenn Schiebemodus = „1" ist, gilt dann SO = Q und kann die ursprüngliche Scan-Modus-Funktionalität zusätzlich verwendet werden.
  • Wie bereits erwähnt, wird durch Reihenschaltung mehrerer Scan-Zellen zur Bildung eines Schieberegisters eine Scan-Kette gemäß 3 erzeugt. Durch Verwenden einer Menge der erfindungsgemäßen modifizierten Scan-Zellen (1) zur Bildung einer solchen Scan-Kette, wobei der Eingangsport SI der ersten Scan-Zelle mit einem Testdateneingang TDI verbunden wird, der vorzugsweise die Stimuli-Eingaben für Boundary-Scan-Prüfbarkeit liefert, und der Ausgangsport SO der Scan-Zelle das Ende der Scan-Kette zu einem Testdatenausgang TDO bildet, wird automatisch eine zusätzliche Verzögerungskette von dem Testdateneingang TDI zu dem Ende des Boundary-Scan-Registers BSR, wie zum Beispiel zu BSR_TDO (4) erzeugt. Auf der Basis der beispielhaften Verfeinerung unter Verwendung einer Boundary-Scan-Zelle gemäß dem IEEE-Standard 1149.1 wird eine Verzögerungskette mindestens von TDI zu BSR_TDO durchgeführt, wie aus 4 zu sehen ist, worin die spezifische Boundary-Scan-Verzögerungskettenarchitektur gemäß der bevorzugten Ausführungsform abgebildet ist.
  • Durch Verwendung existierender, gewöhnlich standardisierter Boundary-Scan-Zellen und durch Erzeugen eines rein kombinatorischen Verzögerungsweges können also alle Eingangs-, Ausgangs- und/oder bidirektionalen Boundary-Scan-Zellen in die Verzögerungskette aufgenommen werden, wobei keine zusätzlichen Ports oder Testsignale für die modifizierten Verzögerungskettenzellen notwendig sind. Da lediglich Scan-Bibliothek-Elemente intern modifiziert werden und die Zellen gemäß den Scan- und funktionalen Anforderungen geroutet und plaziert werden müssen, sind darüber hinaus keine zusätzlichen Entwurfsinstanzen, Konnektivitäten und/oder topologische Auswirkungen notwendig, um diese erfindungsgemäße Scan-Verzögerungskette auf standardisierte Weise zu erzeugen.
  • Durch Verwendung von Boundary-Scan-Zellen kann außerdem eine über eine gesamte integrierte Schaltung, wie zum Beispiel auf dem Chip gemäß 3, verlaufende Verzögerungskette erzielt werden, um mehrere Prozeßvarianzen des diese integrierte Schaltung oder diesen Chip umfassenden Bausteins abzudecken, um eine sogenannte Querchip-Verzögerungsmessung durchzuführen, die eine Vielzahl von Knoten, Elementen, Schichten und/oder Kontaktlöchern einschließt.
  • Auf dieser Basis befindet sich eine Verzögerung zwischen dem Testdateneingang TDI, die das Boundary-Scan-Register BSR zu dem BSR_TDO-Weg leitet, gemäß dem hervorgehobenen Verzögerungsmessungsweg von 4 in funktionaler Abhängigkeit von der Anzahl der Anschlüsse, d.h. im wesentlichen von der Anzahl von eingeschlossenen Boundary-Scan-Zellen, der Multiplexer, Inverter und/oder anderen Verzögerungs- oder Bibliothekselementen, die in die verbesserten Scan-Zellen eingebettet sind, von Querchip-Verbindungen, Puffern, kapazitiven Lasten, von dem Prozeß, der Temperatur und/oder den Stromversorgungsschwankungen.
  • Wie aus 1 ersichtlich ist, kann der erfindungsgemäße lokale Umgehungsweg BP ferner Bibliothekselemente 10 zur zusätzlichen Verbindung jeweiliger Verzögerungselemente umfassen. In jedem Fall sind jedoch keine zusätzlichen Entwurfsinstanzen und Konnektivität notwendig, da nur gegebene Scan-Bibliothek-Elemente intern modifiziert werden und die Zellenports in der modifizierten Verzögerungs-Scan-Zelle immer noch dieselben sind. Da die verbesserten Scan-Zellen lediglich gemäß gewöhnlich standardisierten Scan- und funktionalen Anforderungen geroutet und plaziert werden müssen, entspricht eine Verzögerungskette, die die verbesserten Boundary-Scan-Zellen enthält, immer noch den Boundary-Scan-Funktionalitätsanforderungen, d.h. in bezug auf die beispielhafte Ausführungsform dem IEEE-Standard 1149.1.
  • Darüber hinaus fügt der zusätzliche Multiplexer MUX in dem lokalen Umgehungsweg BP vorteilhafterweise eine Verzögerung zwischen den Schieberegistern hinzu, wodurch die Haltezeitreserve erhöht wird, d.h. um die Versatzsicherheitsreserve für den Prüftakt TCK zu verbessern, um Versetzungs- und/oder Haltezeitprobleme zu vermeiden.
  • Als nächstes hauptsächlich unter Bezugnahme auf 4 wird der prinzipielle Verzögerungskettenzugriffs- und -initialisierungsmechanismus auf der Basis der bevorzugten Ausführungsform, d.h. auf der Basis des IEEE-Standards 1149.1, ausführlicher beschrieben. Um in den Boundary-Scan-Verzögerungskettenmodus zu gelangen, ist es notwendig, einen Zustand in der Testzugriffsportsteuerung (TAP) zu finden, in dem die Schiebe steuerung nicht aktiv ist. Dies ist jedoch in dem Test-Logik-Rücksetz-Zustand der Fall, in den (asynchron) eingetreten wird, wenn der Rücksetzanschluß TRSTN auf „0" gesetzt wird. Folglich befindet sich der Weg von dem Boundary-Scan-Register BSR, der die in Reihe geschalteten Boundary-Scan-Zellen umfaßt, zu dem Testdatenausgangsanschluß TDO, d.h. insbesondere zu dem Weg BSR_TDO in funktionaler Abhängigkeit von dem Testdateneingang TDI zur Bereitstellung der Teststimulieingabe.
  • Auf der Basis des Beispiels, das eine Boundary-Scan-Zellenkette gemäß dem IEEE-Standard 1149.1 verwendet, ist der Verzögerungsweg bzw. die Kette jedoch immer noch nicht von dem Bausteinanschluß aus zugänglich. Gemäß diesem Standard kann automatisch ein anderer Teil oder ein anderes Register, wie zum Beispiel ein Umgehungsregister BR, anstelle des Boundary-Scan-Registers BSR ausgewählt werden. Ferner wirken auch ein Multiplexer BS-MUX und ein Testdatenausgangs-Flip-Flop TDO-FF als blockierende Elemente für die erfindungsgemäße Boundary-Scan-Verzögerungskette. Gemäß einer bevorzugten Verfeinerung umgeht also, um Zugriff und Initialisierung einfach zu halten, der BSR_TDO-Weg den Multiplexer BS-MUX und das Ausgangs-Flip-Flop TDO-FF zu einem separaten Verzögerungskettenausgangstestanschluß DCO.
  • Es ist jedoch zu beachten, daß es im allgemeinen keine überzeugenden Gründe gibt, einen von einem gegebenen Testdatenausgangsanschluß TDO getrennten Verzögerungstestanschluß DCO vorzusehen, wie für Fachleute offensichtlich sein wird.
  • Der separate Testanschluß DCO kann durch ein separates Testmodussignal TM gesteuert werden, um ihn während des Funktionalmodus im Tristate-Zustand zu halten. Aber auch dies ist optional, da der Testdateneingangsanschluß TDI gemäß dem Standard auf Betriebsspannung VDD gezogen wird, d.h. TDI = „1", und daher den gesamten Boundary-Scan-Verzögerungskettenweg im Funktionalmodus ruhig hält. Wie oben mit Bezug auf den Testanschluß DCO erwähnt, kann auch der Anschluß TM mit anderen Testanschlüssen gemeinsam benutzt werden, wie zum Beispiel einem Testanschluß für einen Prüfmodus für RAM (Direktzugriffsspeicher), einen Prüfmodus für PLL (phasenverriegelter Schaltkreis), einen Kern-Scan-Prüfmodus und/oder ähnliche Testmodi.
  • Zur Durchführung einer Verzögerungsmessung, insbesondere einer Querchip-Verzögerungsmessung, wird folglich ein leichter und direkter Zugriff durch einen gegebenen Testdateneingang TDI und Testdatenausgang TDO oder Verzögerungskettenausgang DCO bereitgestellt, wenn erfindungsgemäß modifizierte Boundary-Scan-Zellen verwendet werden. Außerdem kann man leicht das Qualitätsniveau eines Bausteins auf einer Schaltungspackung bestimmen, ohne daß irgendwelche zusätzliche IC-Tester oder spezielle Loadboards notwendig sind.
  • Für eine Implementierung der erfindungsgemäßen Scan-Verzögerungskette kann vorzugsweise der folgende Fluß verwendet werden. Vor der Erzeugung einer jeweiligen (Boundary-)Scan-Kette gemäß gegebenen Scan- und Funktionalitätsanforderungen mittels spezifischer bevorzugter Werkzeuge, müssen die Standard-Boundary-Scan-Zellen gemäß dem oben besprochenen erfindungsgemäßen Ansatz in die erfindungsgemäßen Boundary-Scan-Verzögerungsketten-Zellen erweitert werden. Während der Logiksynthese der Scan-Kette wird der Boundary-Scan-Verzögerungskettenweg als ein falscher Weg definiert, um zwecklose Optimierungsbemühungen zu vermeiden. Nach der Durchführung einer Simulation zum Verifizieren eines korrekten standardisierten Scan-Verhaltens, sollte eine bekannte statische Zeitsteuerungsanalyse (STA) für einen geschätzen Grundflächenplan und/oder eine Layout- Zeitsteuerung an dem jeweiligen Verzögerungsketten-Ausgangsport DCO durchgeführt werden. Auf der Basis dieser Zeitsteuerungsinformationen kann eine Schätzung der Genauigkeit von Verdrahtungs- und/oder Lastmodellen und die Definition eines Prozeßfensters, d.h. des Produktionsprozeßfensters für den besten und den ungünstigsten Fall, ausgeführt werden.
  • Gemäß der praktischen Verwendung der erfindungsgemäßen Scan-Verzögerungskettenmessung, die eine im wesentlichen standardisierte Methodologie bereitstellt, gibt es im Prinzip drei verschiedene Anwendungsgebiete, nämlich während des Entwurfs, während der Herstellung eines komplexe integrierte Schaltungen umfassenden elektrischen Bausteins und am Einsatzort selbst.
  • Genauer gesagt ist eine der bevorzugten Anwendungen die Verzögerungscharakterisierung während des Entwurfsimplementierungsprozesses mit Verknüpfung zum Layout. Beginnend von einer Baugruppe auf oberster Ebene wird eine geschätzte (Verdrahtungs-) Verzögerungsberechnung und statische Zeitsteuerungsanalyse durchgeführt. Die Implementierung wird verbessert durch Grundflächenplanung und Versuchslayouterzeugung, wozu mehr oder weniger genaue topologische Informationen gehören, mit Rückannotation in die Standard-Verzögerungsformat-Datenbank. Sie endet schließlich mit dem eingefrorenen Layout. Während dieses gesamten Prozesses können das Zeitsteuerungsverhalten bezüglich Variationen für den besten Fall und den ungünstigsten Fall (BC/WC) Last-, Verdrahtungs- und/oder Routing-Schätzungen und/oder Variationen mit der erfindungsgemäßen Verzögerungskette vorzugsweise auf der Basis der fest zugeordneten Boundary-Scan-Zellen, deren Elemente während des gesamten Flusses stabil sind, charakterisiert werden.
  • Gemäß einem zweiten Anwendungsgebiet kann die Verzögerungsmessung hergestellter Bausteine durchgeführt. werden. Dies kann mit einem speziellen, aber einfachen Testprogramm auf dem Produktionstester mit einem Messungsmerkmal erfolgen.
  • Ferner kann die Verzögerungsmessung leicht mit dem auf der Leiterplatte angebrachten Baustein während einer Systemprüfung und sogar am Einsatzort mit einfachen Zugriffs- und Initialisierungsmöglichkeiten durchgeführt werden, wie oben mit Bezug auf den IEEE-Standard 1149.1 beschrieben.
  • In allen Fällen bevorzugter Anwendungen kann die Bausteinqualität, d.h. der Ort der Ausbreitungsverzögerung in dem Verzögerungsfenster für den besten und den ungünstigsten Fall (BC/WC) leicht bestimmt werden.
  • Obwohl die Erfindung mit Bezug auf eine bevorzugte Ausführungsform beschrieben wurde, die auf dem IEEE-Standard 1149.1 basiert oder von diesem abgeleitet ist, sollte für Fachleute erkennbar sein, daß abhängig von spezifischen Anforderungen mehrere Modifikationen ausgeführt werden können.

Claims (7)

  1. Verfahren zur Durchführung von Verzögerungsmessungen einer durch einen Produktionsprozeß hergestellten integrierten Schaltung, wobei die integrierte Schaltung eine Scan-Kette für Boundary-Scan-Prüfbarkeit aufweist, mit den folgenden Schritten: – Eintreten in einen Verzögerungsmeßmodus; – Anlegen eines Testdatensignals an einen Testdateneingang (TDI) für Boundary-Scan-Prüfbarkeit; – Durchführen der Verzögerungsmessung an einem separaten Verzögerungskettenausgangsport (DCO), der der Ausgang eines lokalen kombinatorischen Weges ist und der zusätzlich zu dem Testdatenausgang (TDO) für Boundary-Scan-Prüfbarkeit vorliegt, um die Leistungsfähigkeit der integrierten Schaltung zu bestimmen; und der kombinatorische Weg stört nicht den Betrieb der Scan-Kette, weil der Verzögerungskettenausgang (DCO) in einem Tristate-Zustand gehalten wird, oder indem der Dateneingangsanschluß (TDI) auf Betriebsspannung (VDD) gezogen wird.
  2. Verfahren nach Anspruch 1 mit dem Schritt des Durchführens der Verzögerungsmessung an dem Testdatenausgangsport (TDO) für Boundary-Scan-Prüfbarkeit.
  3. Verfahren nach Anspruch 1 oder 2 , bei dem die die Scan-Kette bildenden Scan-Zellen folgendes aufweisen: eine Speicherungsschicht zwischen einem Scan-Eingangsport (SI) und einem Ausgangsport (SO), einen zusätzlichen kombinatorischen Weg (BP) zwischen dem Scan-Eingangsport (SI) und dem Scan- Ausgangsport (SO) einer jeweiligen Scan-Zelle und einen Multiplexer (MUX), der mit dem Ausgang des zusätzlichen kombinatorischen Weges (BP) und dem Ausgang der Speicherungsschicht verbunden ist, um das Signal des Scan-Ausgangsports (SO) bereitzustellen; und die Schritte des Anlegens eines Testdatensignals an einen Testdateneingang (TDI) auch darin bestehend, den Scan-Eingangsport (SI) einer ersten Scan-Zelle für Boundary-Scan-Prüfbarkeit mit einem Testdateneingangsport (TDI) und den Scan-Ausgangsport (SO) einer das Ende der Scan-Kette bildenden Scan-Zelle über eine Schaltung (BSR TDO, BS-MUX, TDO-FF) für Boundary-Scan-Prüfbarkeit mit einem Testdatenausgangsport (TDO) zu verbinden; und den Ausgangsport (SO) einer das Ende der Scan-Kette bildenden Boundary-Scan-Zelle über einen Testdatenausgangsweg (BSR_TDO) mit dem separaten Verzögerungskettenausgangsport (DCO) zu verbinden.
  4. Verfahren nach Anspruch 3, wobei der Schritt des Anlegens eines Testdatensignals außerdem das Bereitstellen der mindestens einen Boundary-Scan-Zelle gemäß dem IEEE-Standard 1149.1 umfaßt.
  5. Verfahren nach Anspruch 3 oder 4, wobei der Schritt des Durchführens der Verzögerungsmessung den Schritt des Implementierens eines lokalen Weges (BP) zwischen den beiden jeweiligen Scan-Ports (SI, SO) durch Umgehen der jeweiligen Speicherungsschicht einer Boundary-Scan-Zelle, um so den zusätzlichen kombinatorischen Weg bereitzustellen, umfaßt.
  6. Verfahren nach Anspruch 3, 4 oder 5, wobei der kombinatorische Weg über einen durch das Shift-Signal aus einer Testzugangsportsteuerung gesteuerten Multiplexer (MUX) mit dem Scan-Ausgangsport (SO) verbunden wird.
  7. Verfahren nach einem der Ansprüche 3 bis 6, wobei der kombinatorische Weg (BP) während der Synthese der Scan-Kette als falscher Weg definiert ist.
DE60107531T 2001-02-19 2001-02-19 Abtastverzögerungskette zur Verzögerungsmessung Expired - Lifetime DE60107531T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
EP01301472A EP1233276B1 (de) 2001-02-19 2001-02-19 Abtastverzögerungskette zur Verzögerungsmessung

Publications (2)

Publication Number Publication Date
DE60107531D1 DE60107531D1 (de) 2005-01-05
DE60107531T2 true DE60107531T2 (de) 2005-12-08

Family

ID=8181727

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60107531T Expired - Lifetime DE60107531T2 (de) 2001-02-19 2001-02-19 Abtastverzögerungskette zur Verzögerungsmessung

Country Status (4)

Country Link
US (1) US20020116674A1 (de)
EP (1) EP1233276B1 (de)
JP (1) JP4152639B2 (de)
DE (1) DE60107531T2 (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE602004003475T2 (de) * 2003-02-10 2007-09-20 Koninklijke Philips Electronics N.V. Testen von integrierten schaltungen
JP4265934B2 (ja) * 2003-06-06 2009-05-20 シャープ株式会社 スキャンパス回路およびそれを備える論理回路ならびに集積回路のテスト方法
CN100427964C (zh) * 2003-08-04 2008-10-22 华为技术有限公司 一种电路板的边界扫描测试方法
DE602005014261D1 (de) * 2004-07-28 2009-06-10 Nxp Bv Schaltungsverbindungs-prüfanordnung und ansatz dafür
US20060195737A1 (en) * 2005-02-11 2006-08-31 International Business Machines Corporation System and method for characterization of certain operating characteristics of devices
US7594149B2 (en) * 2005-02-22 2009-09-22 Integrated Device Technology, Inc. In-situ monitor of process and device parameters in integrated circuits
US7281182B2 (en) * 2005-02-22 2007-10-09 International Business Machines Corporation Method and circuit using boundary scan cells for design library analysis

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4771251A (en) * 1987-10-23 1988-09-13 Control Data Corporation Ring oscillator
US5477545A (en) * 1993-02-09 1995-12-19 Lsi Logic Corporation Method and apparatus for testing of core-cell based integrated circuits
US5710779A (en) * 1996-04-09 1998-01-20 Texas Instruments Incorporated Real time data observation method and apparatus
US5796751A (en) * 1996-07-22 1998-08-18 International Business Machines Corporation Technique for sorting high frequency integrated circuits
DE19845409A1 (de) * 1998-10-02 2000-04-20 Ibm Schnelle Bestimmung des Flush-Delays bei Chips mit LSSD-Design
US6314539B1 (en) * 1998-10-21 2001-11-06 Xilinx, Inc. Boundary-scan register cell with bypass circuit
US6952812B2 (en) * 2001-02-13 2005-10-04 Freescale Semiconductor, Inc. Design analysis tool for path extraction and false path identification and method thereof

Also Published As

Publication number Publication date
DE60107531D1 (de) 2005-01-05
JP4152639B2 (ja) 2008-09-17
EP1233276B1 (de) 2004-12-01
US20020116674A1 (en) 2002-08-22
EP1233276A1 (de) 2002-08-21
JP2002311107A (ja) 2002-10-23

Similar Documents

Publication Publication Date Title
DE602004000228T2 (de) Integrierte Halbleiterschaltungsanordnung mit Signalregenerator für Prüfsignale und dazugehörige automatische Entwurfs-Vorrichtung, -Verfahren und -Programme
DE4110151C2 (de) Integrierte Schaltungsvorrichtung
DE4221748C2 (de) Bypass-Abtastpfad und integrierte Schaltkreiseinrichtung mit mindestens einem solchen Bypass-Abtastpfad
DE60218498T2 (de) Elektronisches gerät
DE4041897C2 (de) Integrierte Schaltkreiseinrichtung und Abtastpfadsystem
DE69533275T2 (de) Ein Steuergerät zur Durchführung der Abtastprüfung
DE69921277T2 (de) System und Verfahren zur Fehlererkennung in einer elektrischen Schaltung durch Ruhestromanalyse
DE60025789T2 (de) Logische eingebaute Selbstprüfung (LBIST) Steuerschaltungen, Systeme und Verfahren mit automatischer Bestimmung der maximalen Abtastkettenlänge
EP0144078A2 (de) Verfahren und Anordnung zum Prüfen einer Schaltung nach der Abfragepfad-Technik
DE4127216C2 (de) Integrierte Halbleiterschaltungseinrichtung und Herstellungsverfahren dafür
DE4434927C2 (de) Verfahren zum Testen einer Schaltungsplatine
DE10031536A1 (de) Ereignisgestütztes Halbleiterprüfsystem
DE4416490A1 (de) Verfahren und Vorrichtung zum Testen integrierter Schaltungen in einer Mischsignalumgebung
DE102006020186A1 (de) Vorrichtung und Verfahren von Verzögerungsberechnung für strukturierte ASIC
DE60107531T2 (de) Abtastverzögerungskette zur Verzögerungsmessung
DE10393176T5 (de) Verfahren zum Evaluieren eines kernbasierten Systems auf einem Chip
DE102008039560A1 (de) Verfahren zur Herstellung einer integrierten Schaltung mit Spannungsverstärkung
DE102021128331B3 (de) Integrierte schaltung, testanordnung und verfahren zum testen einer integrierten schaltung
DE2902375A1 (de) Logikbaustein fuer integrierte digitalschaltungen
DE69533018T2 (de) Struktur und Leistungsabtastprüfung
DE19748016A1 (de) Kostengünstiges Prüfverfahren für Registerübertragungspegelschaltungen
EP1430320B1 (de) Elektronischer baustein und verfahren zu dessen qualifizierungsmessung
DE10052721B4 (de) Integrierte Schaltung und Verfahren zum Testen einer integrierten Schaltung
DE19626984C1 (de) Verfahren zur rechnergestützten Ermittlung einer Systemzusammenhangsfunktion
DE102014017099A1 (de) Abdeckungsverbesserung und energiebewusstes Taktsystem für einen strukturellen Delay-Fault-Test

Legal Events

Date Code Title Description
8364 No opposition during term of opposition