DE60024146D1 - Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren - Google Patents

Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren

Info

Publication number
DE60024146D1
DE60024146D1 DE60024146T DE60024146T DE60024146D1 DE 60024146 D1 DE60024146 D1 DE 60024146D1 DE 60024146 T DE60024146 T DE 60024146T DE 60024146 T DE60024146 T DE 60024146T DE 60024146 D1 DE60024146 D1 DE 60024146D1
Authority
DE
Germany
Prior art keywords
channels
showerhead
region
channel
cvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60024146T
Other languages
English (en)
Other versions
DE60024146T2 (de
Inventor
William Dunham
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genus Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Publication of DE60024146D1 publication Critical patent/DE60024146D1/de
Application granted granted Critical
Publication of DE60024146T2 publication Critical patent/DE60024146T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
DE60024146T 1999-07-08 2000-07-06 Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren Expired - Fee Related DE60024146T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US350417 1999-07-08
US09/350,417 US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
PCT/US2000/040314 WO2001004931A2 (en) 1999-07-08 2000-07-06 Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes

Publications (2)

Publication Number Publication Date
DE60024146D1 true DE60024146D1 (de) 2005-12-22
DE60024146T2 DE60024146T2 (de) 2006-08-03

Family

ID=23376624

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60024146T Expired - Fee Related DE60024146T2 (de) 1999-07-08 2000-07-06 Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren

Country Status (8)

Country Link
US (5) US6206972B1 (de)
EP (1) EP1274875B1 (de)
JP (1) JP2003504866A (de)
KR (1) KR100446486B1 (de)
AT (1) ATE310107T1 (de)
AU (1) AU6803900A (de)
DE (1) DE60024146T2 (de)
WO (1) WO2001004931A2 (de)

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
WO2002058126A1 (fr) * 2001-01-22 2002-07-25 Tokyo Electron Limited Dispositif et procede de traitement
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6812100B2 (en) 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
EP1629522A4 (de) * 2003-05-30 2008-07-23 Aviza Tech Inc Gasverteilungssystem
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US20050164626A1 (en) * 2004-01-26 2005-07-28 Kloostra Marvin L. High mix air diffuser
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
GB0426766D0 (en) * 2004-12-06 2005-01-12 Q Chip Ltd Device for fluid transport
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US7563730B2 (en) * 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
WO2010041213A1 (en) * 2008-10-08 2010-04-15 Abcd Technology Sarl Vapor phase deposition system
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
WO2010101369A2 (ko) * 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
KR20120023040A (ko) * 2009-04-29 2012-03-12 어플라이드 머티어리얼스, 인코포레이티드 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9057030B2 (en) 2010-10-30 2015-06-16 General Electric Company System and method for protecting gasifier quench ring
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
KR102074632B1 (ko) * 2011-11-23 2020-02-06 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
WO2013181521A2 (en) 2012-05-31 2013-12-05 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
KR20140087215A (ko) * 2012-12-28 2014-07-09 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101575844B1 (ko) 2014-05-09 2015-12-08 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 구비하는 원자층 증착 장치
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7035581B2 (ja) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11220747B2 (en) 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
TW202147492A (zh) * 2020-06-03 2021-12-16 荷蘭商Asm Ip私人控股有限公司 噴淋板、基板處理裝置、基板處理方法
DE102020123076A1 (de) 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3689110A (en) * 1970-08-05 1972-09-05 William B Ferguson Fluid line coupling
US4226164A (en) * 1979-01-10 1980-10-07 Carter Alton L Split threaded coupling nut
US4923349A (en) * 1985-05-28 1990-05-08 Hartley Logsdon Threaded split coupling nut
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
GB9313633D0 (en) * 1993-07-01 1993-08-18 Black & Decker Inc A clamp nut
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US6266462B1 (en) 1998-02-12 2001-07-24 Ultraband Fiber Optics Acousto-optic filter
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6096564A (en) * 1999-05-25 2000-08-01 Wisconsin Alumni Research Foundation Plasma-aided treatment of surfaces against bacterial attachment and biofilm deposition
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Also Published As

Publication number Publication date
US20010054391A1 (en) 2001-12-27
EP1274875A4 (de) 2004-10-20
WO2001004931A3 (en) 2001-05-03
US6626998B1 (en) 2003-09-30
KR100446486B1 (ko) 2004-09-01
US6284673B2 (en) 2001-09-04
DE60024146T2 (de) 2006-08-03
WO2001004931A2 (en) 2001-01-18
US20010002582A1 (en) 2001-06-07
ATE310107T1 (de) 2005-12-15
KR20020031380A (ko) 2002-05-01
US20030101934A1 (en) 2003-06-05
US6206972B1 (en) 2001-03-27
JP2003504866A (ja) 2003-02-04
AU6803900A (en) 2001-01-30
US6616766B2 (en) 2003-09-09
EP1274875B1 (de) 2005-11-16
EP1274875A2 (de) 2003-01-15

Similar Documents

Publication Publication Date Title
DE60024146D1 (de) Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren
US6086677A (en) Dual gas faceplate for a showerhead in a semiconductor wafer processing system
EP0959150A3 (de) Vorrichtung zur Herstellung von Dünnfilmen
KR100450068B1 (ko) Cvd 장치의 멀티섹터 평판형 샤워헤드
US6089472A (en) Shower head
KR20160137403A (ko) 에지 플레넘 샤워헤드 어셈블리를 포함한 증착 장치
US4590042A (en) Plasma reactor having slotted manifold
EP1134789A2 (de) Einteilige Doppelgas-Frontplatte für einen Verteilungskopf in einem Verarbeitungssystem für Halbleiterwafer
KR100509231B1 (ko) 박막증착용 반응용기
US20020045362A1 (en) Method of forming a silicon nitride layer on a semiconductor wafer
GB2387476B (en) Flow field plate geometries
CN104250728A (zh) 具有气封的化学沉积腔室
TW373226B (en) Inductively coupled plasma reactor and the manufacturing process thereof
WO1988010324A1 (en) Improved reaction chambers and methods for cvd
DE60212001D1 (de) Flüssigkeitsverteilungsplatte-geometrien
MY137871A (en) Plasma reactor overhead source power electrode with low arcing tendency, cyclindrical gas outlets and shaped surface
KR20110046423A (ko) 슬릿 밸브 보상기구를 갖는 확산 판
WO2004082003A3 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
TW363086B (en) A CVD apparatus and CVD method
WO2000015881A3 (en) Gas feeding system for chemical vapor deposition reactor and method of controlling the same
WO2015020474A1 (ko) 에피텍셜 반응기
KR20060059305A (ko) 반도체 공정 장비
MY107422A (en) Deposited film formation method.
KR20120028963A (ko) 가스분사장치
KR20040024408A (ko) 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee