DE3689342T2 - Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas. - Google Patents

Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas.

Info

Publication number
DE3689342T2
DE3689342T2 DE19863689342 DE3689342T DE3689342T2 DE 3689342 T2 DE3689342 T2 DE 3689342T2 DE 19863689342 DE19863689342 DE 19863689342 DE 3689342 T DE3689342 T DE 3689342T DE 3689342 T2 DE3689342 T2 DE 3689342T2
Authority
DE
Germany
Prior art keywords
silicon
gas
etching
polymer
silicon body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19863689342
Other languages
English (en)
Other versions
DE3689342D1 (de
Inventor
Lee Chen
Gangadhara Swami Mathad
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE3689342D1 publication Critical patent/DE3689342D1/de
Application granted granted Critical
Publication of DE3689342T2 publication Critical patent/DE3689342T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

  • Die vorliegende Erfindung befaßt sich mit der Herstellung von Bausteinen wie LSI- oder VLSI-Halbleiterchips, und insbesondere mit Plasmaätzverfahren, die dazu ausgelegt sind, um in diesen Bausteinen mikroskopische Muster zu definieren.
  • Für das Ätzen von Silicium sind viele Trockenätzverfahren bekannt, die normalerweise Plasmen im Bereich des sogenannten reaktiven Ionenätzens (RIE) bei vergleichsweise niedrigem Druck, ungefähr 30-100 Mikrometer, und bei kleiner Leistungsdichte, etwa 0,01 bis 0,5 Watt/cm², verwenden. In letzter Zeit wurde in der Halbleiterindustrie viel Aufmerksamkeit auf das Plasmaätzen bei hohem Druck, 1 mbar und mehr, und bei hoher Leistungsdichte, 2 bis 10 Watt/cm², gerichtet, welches zu wesentlich größeren als mit RIE-Verfahren möglichen Ätzraten führt. In International Electron Devices Meeting Technical Digest, Washington, 7.-9. Dezember 1981, S. 578-581, IEEE, New York wird das Ätzen von Silicium bei hohem Druck beschrieben; ein Gasgemisch aus CF&sub4;, C&sub2;F&sub6; und Ar ätzt Silicium langsam (< 0,1 um/min), während schnelles anisotropes Ätzen von Silicium mittels Cl&sub2; erzielt wird.
  • Bei Plasmaätzverfahren tragen zwei Abbaukomponenten zur Bildung des resultierenden Ätzprofils in der Zielschicht bei: eine chemische Komponente aufgrund der chemischen Reaktion der im Plasma erzeugten Spezies mit dem zu entfernenden Oberflächenmaterial und eine physikalische Komponente aufgrund der Impulsübertragung der geladenen Teilchen, die im Plasma entstehen und durch die Hülse auf das Zielmaterial beschleunigt werden. Plasmaätzverfahren, die im Bereich hohen Druckes durchgeführt werden, unterscheiden sich von den RIE-Verfahren bei niedrigem Druck durch die viel größere Bedeutung der chemischen Komponente beim Ätzen.
  • In der herkömmlichen Chemie fluorierter Gase, zum Beispiel in US-A-4 310 380, Erfinder Flamm et al., ist das Ätzen von isotroper Natur, mit vergleichbaren seitlichen und vertikalen Ätzraten in Silicium. In dem offenbarten Verfahren ist die chemische Komponente der Umgebung aus leicht dissoziierendem NF&sub3; sehr stark, selbst bei dem RIE-Verfahrenstyp bei niedrigem Druck, wo man aufgrund der Stärke des physikalischen Beschusses eine größere vertikale als seitliche Ätzrate erwarten würde. In einem Bereich hohen Druckes wird diese Gaschemie sogar noch isotroper. Während isotropes Ätzen bei einigen Ätzschritten von Silicium nützlich ist, ist es dort, wo tiefes Ätzen (3 bis 5 Mikrometer) von Silicium mit kleinen Abmessungen benötigt wird, wie beim Ätzen von Isolationsgräben, nicht erstrebenswert. Bei einem derartigen Verfahren wird um einen Transistor oder einen anderen Baustein ein Graben geätzt, der dann zur elektrischen Isolierung des Bausteins mit einem dielektrischen Material gefüllt wird. Der Graben schneidet senkrecht mehrere Schichten aus unterschiedlich dotiertem Polysilicium oder Silicium durch. Ein Ätzplasma, das zur Steuerung von Unterätzen chlorierte Gase verwendet, unterätzt jede Schicht in einen unterschiedlichen Ausmaß, das von der Reaktivität der jeweiligen Schicht mit Fluor oder Chlor abhängt. Diese und andere Probleme werden durch die vorliegende Erfindung gemäß Anspruch 1 überwunden.
  • Eine Aufgabe der vorliegenden Erfindung besteht darin, ein verbessertes Plasmaätzverfahren für Silicium zur Verfügung zu stellen, insbesondere wenn mehrere Siliciumschichten mit verschiedenen Dotierungseigenschaften vorliegen.
  • Gemäß einem Ausführungsbeispiel der Erfindung enthält die Zusammensetzung des Ätzgases drei Hauptbestandteile: die Ätzmittel-Spezies, zum Beispiel NF&sub3; oder SF&sub6;; ein Inertgas wie N&sub2;; und ein polymerisierendes Gas wie CHF&sub3;. Stickstofftrifluorid (NF&sub3;) dissoziiert in einem Plasma leicht unter Freisetzung von freiem Fluor und fluorhaltigen Radikalen in größerem Ausmaß als andere Fluorquellen. Es ist auch viel sicherer als ClF&sub3;, BrF&sub3; oder IF&sub3;, die als potentiell explosive Gase in einer Fabrikationsumgebung ungeeignet sind. Es erweist sich in der Tat, daß die extrem schnelle Dissoziation von NF&sub3; in einem Plasma bei hohem Druck ohne die Verdünnung durch ein Inertgas eher ungleichmäßiges Ätzen bewirkt. Es hat sich herausgestellt, das Stickstoff etwas bessere Gleichmäßigkeiten erzielt als Argon oder Helium.
  • Das Hinzufügen einer kleinen Menge eines polymerisierenden Gases zum Hochdruckplasma verleiht dem vorliegenden Verfahren seinen anisotropen Charakter. Die Wahl der polymerisierenden Gase wird von der verwendeten Maskenart bestimmt. Für Fotolack-, Aluminium- oder Chrom-Masken wird ein fluorhaltiges Gas bevorzugt, während eine Siliciumdioxid-Maske zusätzlich zum Fluor-Ätzgemisch ein chlorhaltiges Gas erfordert. Im Plasma bildet das Gas ein Polymer, das sich anschließend auf der Zieloberfläche gleichmäßig abscheidet. In vertikaler Richtung wird das Polymer weggeätzt, wobei eine durch das Polymer passivierte Seitenwand zurückbleibt. Die Seitenwand ist vor seitlichem Ätzen geschützt, und somit ist das Unterätzen des Siliciums beseitigt. Im speziellen Fall der Herstellung einer Grabenisolierung greift die Ätzmittel-Spezies die Seitenwand des unterschiedlich dotierten Polysiliciums nicht an, und daher gibt keine veränderliche Unterätzung wie sie in Verfahren auftritt, die zur Steuerung der Anisotropie chlorierte Gase verwenden.
  • Fig. 1 ist ein Querschnittsaufriß eines Einzelwafer- Hochdruckreaktors, der zur Durchführung des Verfahrens aus der vorliegenden Erfindung verwendet wird; und
  • Fig. 2 ist ein Querschnitt eines Teils eines integrierten Schaltungsbausteins, der gemäß der vorliegenden Erfindung speziell zur Grabenisolierung geätzt wurde.
  • Gemäß der Prinzipien der vorliegenden Erfindung wird das Ätzen bei hohem Druck und hoher Plasmadichte in einem Einzelwaferreaktor des in Fig. 1 gezeigten Typs durchgeführt. Der Konstruktionsentwurf des Reaktors ähnelt demjenigen, der ausführlicher in der mitanstehenden und auf den vorliegenden Bevollmächtigten übertragenen Patentanmeldung US-A-4 534 816 beschrieben wird, die durch das Zitat hier miteingeschlossen ist.
  • Wendet man sich nun den Zeichnungen zu, wird in Fig. 1 ein Einzelwaferreaktor 10 gezeigt, bei welchem eine kreisförmige, elektrisch geerdete obere Elektrode 11 an ein zylindrisches Gehäuse 12 angebracht ist. Das Gehäuse 12 besitzt eine Ablenkplatte 13 zur Gasverteilung, einen Einlaß 14 für das Reaktionsgas sowie einen Kühlflüssigkeits-Einlaß (nicht abgebildet) und - Austritt 15. Dieser Aufbau ist in einem isolierenden Gehäuse 16 enthalten. Die untere Elektrode 17 enthält einen leitenden oberen Bereich 18 und einen isolierenden unteren Bereich 19. Der obere Bereich 18 enthält Kühlkanäle 20 und einen erhöhten Teil, der von einem Isolierring 21 mit Gasauslaßkanälen 21a umgeben ist. Der Abstand 22 zwischen der oberen Elektrode 11 und der unteren Elektrode 17 beträgt ungefähr 4 mm. Ein Isolierring 23, der die zwei Elektroden elektrisch isoliert, besteht aus Leitungen 24 zum Abführen des Gases aus dem Elektrodenzwischenraum. Diese Leitungen 24 münden in einen Spalt 25 zwischen dem inneren Gehäuse 16 und dem äußeren Gehäuse 26. Die Gase, die reagiert haben, werden aus dem System durch einen Anschluß 27 abgeführt.
  • Fig. 2 ist ein stark vergrößerter Querschnitt eines Teils eines Siliciumwafers und zeigt einen gemäß der Prinzipien der vorliegenden Erfindung geätzten Graben. Auf der Oberfläche einer stark dotierten Schicht 30 aus polykristallinem Silicium wurde eine strukturierte Maskenschicht 28 gebildet, zum Beispiel aus Fotolack, Aluminium, Chrom oder Siliciumdioxid. Die Maskenschicht 28 muß natürlich gegenüber dem Ätzgasgemisch beständig sein. Die Schicht 30 liegt über einer schwach p-dotierten Schicht 32 aus monokristallinem Silicium, die auf einem Siliciumwafer 34 gebildet wurde. Gemäß einem Ausführungsbeispiel für die vorliegende Erfindung werden die unmaskierten Bereiche der Schichten 30 und 32 zur Bildung eines Grabens 36 mit im wesentlichen vertikalen Seitenwänden anisotrop geätzt. Der Graben 36 kann typischerweise eine Breite von etwa 5 Mikrometern besitzen.
  • Fluorhaltige polymerisierende Gase wie CHF&sub3;, C&sub2;F&sub4;, C&sub2;F&sub6; und C&sub3;F&sub8; wurden als vorteilhaft für das Ätzen von Silicium oder dotiertem Polysilicium durch Maskenschichten aus Fotolack, Aluminium oder Chrom befunden. Es hat sich herausgestellt, daß eine Maske aus Siliciumdioxid zusätzlich die Anwesenheit eines chlorhaltigen Gases wie CCl&sub4;, CFCl&sub3;, CF&sub2;Cl&sub2; oder C&sub2;HCl&sub2;, wobei letzteres ein wasserstoffhaltiges Freon ist.
  • Es ist klar, daß die spezielle Abfolge von dotierten bzw. undotierten Schichten lediglich der Anschauung dient und daß jede beliebige Abfolge bzw. Anzahl bzw. Schichten aus undotiertem Polysilicium, dotiertem Polysilicium und monokristallinem Silicium mittels der Prinzipien dieser Erfindung geätzt werden kann.
  • Gemäß eines bevorzugten Ausführungsbeispiels für die vorliegende Erfindung wird im Plasmareaktor 10 ein Druck von etwa 1 mbar aufgebaut. Das gasförmige Ätzmittel wird mit einer Gesamtflußrate von etwa 24 cm³/s; 10 cm³/s für NF&sub3;&sub1; 10 cm³/s für N&sub2; und 4 cm³/s für CHF&sub3; zugeführt. In dem Einzelwaferreaktor wird an der Waferoberfläche eine Leistungsdichte von etwa 2 Watt/cm² erzeugt. Die Temperatur der oberen Elektrode 11 wird bei etwa 0ºC und die Temperatur der unteren Elektrode wird bei ungefähr -10ºC gehalten. Der Siliciumwafer ist teilweise mit einer Maske versehen und befindet sich auf der unteren Elektrode 17. Bei den oben angegebenen Verfahrensbedingungen wurden anisotrope Ätzraten von etwa 1,6 Mikrometer/min beobachtet.
  • Das obige Beispiel dient nur zur Veranschaulichung. Ganz allgemein kann das Ätzen durch die Wahl von Druck, Gasstrom bzw. Leistungsdichte in den Bereichen 0,5 bis 7 mbar, 10 bis 100 cm³/s bzw. 1 bis 10 Watt/cm² durchgeführt werden.

Claims (5)

1. Verfahren zum anisotropen Ätzen eines Siliciumkörpers, das folgendes umfaßt:
Plazierung des Siliciumkörpers in einer gasförmigen Plasmaumgebung mit hohem Druck, die eine fluorhaltige, gasförmige Verbindung, ein Inertgas und ein polymerbildendes Gas, das eine polymerbildende, gasförmige Verbindung umfaßt, enthält, wobei die fluorhaltige, gasförmige Verbindung aus der aus NF&sub3; und SF&sub6; bestehenden Gruppe und die polymerbildende, gasförmige Verbindung aus der aus CHF&sub3;, C&sub2;F&sub4;, C&sub2;F&sub6; und C&sub3;F&sub8; bestehenden Gruppe ausgewählt wird.
2. Verfahren gemäß Anspruch 1, wobei sich auf dem Siliciumkörper eine strukturierte Maskenschicht befindet.
3. Verfahren gemäß Anspruch 1 oder 2, wobei der zu ätzende Siliciumkörper aus mehreren Schichten aus unterschiedlich dotiertem Polysilicium und monokristallinem Silicium besteht.
4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die gasförmige Plasmaumgebung an der Oberfläche des Siliciumkörpers einen Druck von 0,5 bis 7 mbar und eine Leistungsdichte von 1 bis 10 Watt/cm² umfaßt.
5. Verfahren nach einem der Ansprüche 2 bis 4, wobei die strukturierte Maskenschicht aus Siliciumdioxid besteht und das polymerbildende Gas zusätzlich chlorhaltiges Gas enthält.
DE19863689342 1985-05-06 1986-04-11 Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas. Expired - Fee Related DE3689342T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US73098885A 1985-05-06 1985-05-06

Publications (2)

Publication Number Publication Date
DE3689342D1 DE3689342D1 (de) 1994-01-13
DE3689342T2 true DE3689342T2 (de) 1994-05-19

Family

ID=24937611

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19863689342 Expired - Fee Related DE3689342T2 (de) 1985-05-06 1986-04-11 Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas.

Country Status (4)

Country Link
EP (1) EP0200951B1 (de)
JP (1) JPH0626200B2 (de)
CA (1) CA1260365A (de)
DE (1) DE3689342T2 (de)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
EP0246514A3 (de) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Ätzung tiefer Nuten in monokristallinen Silizium
JPS63299343A (ja) * 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd エッチング方法
JPH0628253B2 (ja) * 1988-02-17 1994-04-13 工業技術院長 エッチング方法
JP2569754B2 (ja) * 1988-09-10 1997-01-08 富士通株式会社 化合物半導体装置の製造方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE4420962C2 (de) * 1994-06-16 1998-09-17 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
EP0932191A1 (de) * 1997-12-30 1999-07-28 International Business Machines Corporation Plasmaätzverfahren von dotierten Polysilizium Schichten mit gleichmässigen Ätzgeschwindigkeiten
WO2000036631A1 (en) 1998-12-11 2000-06-22 Surface Technology Systems Limited Plasma processing apparatus
DE19910886B4 (de) 1999-03-11 2008-08-14 Infineon Technologies Ag Verfahren zur Herstellung einer flachen Grabenisolation für elektrisch aktive Bauelemente
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
EP1256127A1 (de) * 2000-02-18 2002-11-13 Applied Materials, Inc. Selbstreinigendes ätzverfahren eines silizium enthaltenden materials
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
RU2010130570A (ru) 2007-12-21 2012-01-27 Солвей Флуор Гмбх (De) Способ получения микроэлектромеханических систем

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58204537A (ja) * 1982-05-24 1983-11-29 Hitachi Ltd プラズマエツチング方法
JPS5951531A (ja) * 1982-09-18 1984-03-26 Ulvac Corp ドライエツチング方法
US4473435A (en) * 1983-03-23 1984-09-25 Drytek Plasma etchant mixture
JPH0622212B2 (ja) * 1983-05-31 1994-03-23 株式会社東芝 ドライエッチング方法
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPS61144827A (ja) * 1984-12-19 1986-07-02 Hitachi Ltd ドライエツチング装置

Also Published As

Publication number Publication date
EP0200951A2 (de) 1986-11-12
JPS61256728A (ja) 1986-11-14
EP0200951A3 (en) 1989-11-15
JPH0626200B2 (ja) 1994-04-06
EP0200951B1 (de) 1993-12-01
CA1260365A (en) 1989-09-26
DE3689342D1 (de) 1994-01-13

Similar Documents

Publication Publication Date Title
DE3689342T2 (de) Verfahren zum anisotropischen Ätzen von Silizium mittels eines fluorierten Plasmas.
US4741799A (en) Anisotropic silicon etching in fluorinated plasma
DE3118839C2 (de)
EP0345757B1 (de) Veraschungsverfahren zum Entfernen einer organischen Schicht auf einer Halbleiteranordnung während ihrer Herstellung
DE3856022T2 (de) Selektives Ätzen dünner Schichten
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
US5201993A (en) Anisotropic etch method
US4521275A (en) Plasma etch chemistry for anisotropic etching of silicon
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
DE3852619T2 (de) Erhöhung der Ätzgewschwindigkeit in fluorierten halogenkohlenstoff oxydierenden Plasmas mit chlorfluorierten kohlenstoffhaltigen zusätzen.
US5169487A (en) Anisotropic etch method
US5453156A (en) Anisotropic polysilicon plasma etch using fluorine gases
KR20010030470A (ko) 막 에칭을 위한 안정된 플라즈마 처리
DE4133332A1 (de) Verfahren zum aetzen von halbleiterbausteinen
DE2930360A1 (de) Verfahren zum plasmaaetzen von aluminium
US5167762A (en) Anisotropic etch method
US5597444A (en) Method for etching semiconductor wafers
EP0126969B1 (de) Verfahren zum Herstellen von Strukturen von aus Metallsiliziden bzw. Silizid-Polysilizium bestehenden Schichten für integrierte Halbleiterschaltungen durch reaktives Ionenätzen
DE4202447A1 (de) Verfahren zum aetzen von nuten in einem silizium-substrat
US6069087A (en) Highly selective dry etching process
DE4232475C2 (de) Verfahren zum plasmachemischen Trockenätzen von Si¶3¶N¶4¶-Schichten hochselektiv zu SiO¶2¶-Schichten
DE3935189A1 (de) Verfahren und vorrichtung zur behandlung von werkstuecken durch reaktives ionenaetzen
EP1095403B1 (de) Verfahren zur beseitigung von defekten von siliziumkörpern durch selektive ätzung
US5292402A (en) Masking material for applications in plasma etching
DE10045793C2 (de) Verfahren zum Strukturieren eines Substrats

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee