DE112017002295T5 - Porositätsmessung von Halbleiterstrukturen - Google Patents

Porositätsmessung von Halbleiterstrukturen Download PDF

Info

Publication number
DE112017002295T5
DE112017002295T5 DE112017002295.9T DE112017002295T DE112017002295T5 DE 112017002295 T5 DE112017002295 T5 DE 112017002295T5 DE 112017002295 T DE112017002295 T DE 112017002295T DE 112017002295 T5 DE112017002295 T5 DE 112017002295T5
Authority
DE
Germany
Prior art keywords
flow
filler
measurement
purge gas
amount
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112017002295.9T
Other languages
English (en)
Inventor
Shankar Krishnan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/204,938 external-priority patent/US10281263B2/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112017002295T5 publication Critical patent/DE112017002295T5/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/088Investigating volume, surface area, size or distribution of pores; Porosimetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/08Measuring arrangements characterised by the use of optical techniques for measuring diameters
    • G01B11/12Measuring arrangements characterised by the use of optical techniques for measuring diameters internal diameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/0806Details, e.g. sample holders, mounting samples for testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8427Coatings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/91Investigating the presence of flaws or contamination using penetration of dyes, e.g. fluorescent ink

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

Verfahren und Systeme zur Durchführung optischer Messungen der Porosität geometrischer Strukturen, die durch einen Kapillarkondensationsprozess mit einem Füllmaterial gefüllt sind, werden hierin vorgestellt. Die Messungen werden durchgeführt, während die zu messende Struktur mit einem Spülgasstrom behandelt wird, der eine kontrollierte Menge an verdampftem Füllmaterial enthält. Ein Teil des Füllmaterials kondensiert und füllt Öffnungen in den Strukturmerkmalen, etwa Poren einer planaren Schicht, Räume zwischen Strukturmerkmalen, kleine Volumina, wie z.B. Kerben Gräben, Schlitze, Kontaktlöcher, etc. In einem Aspekt wird der gewünschte Sättigungsgrad des verdampften Materials in der gasförmigen Strömung auf Basis der maximal zu befüllenden Merkmalsgröße eingestellt. In einem anderen Aspekt werden Messdaten gesammelt, wenn eine Struktur ungefüllt und wenn die Struktur gefüllt ist. Die gesammelten Daten werden kombiniert zu einer Messung auf Grundlage eines Modells für mehrere Ziele, um Werte der Porosität und kritische Dimensionen zu schätzen.

Description

  • BEZUGNAHME AUF VERWANDTE ANMELDUNGEN
  • Die vorliegende Patentanmeldung beansprucht die Priorität unter 35 USC §119 der provisorischen US-Patentanmeldung mit der Anmeldenummer 62/330,751 , mit dem Titel: „Porosity and Critical Dimension Measurements Using Capillary Condensation“, die am 02. Mai 2016 angemeldet wurde, und der provisorischen US-Patentanmeldung mit der Anmeldenummer 62/441,887 , mit dem Titel: „Critical Dimension Measurements Using Liquid Filling“, die am 03. Januar 2017 angemeldet wurde, und von der US-Patentanmeldung mit der Anmeldenummer 15/204,938 mit dem Titel: „Critical Dimension Measurements With Capillary Condensation“, die am 07. Juli 2016 angemeldet wurde, deren Offenbarung durch Bezugnahme in ihrer Gesamtheit hierin aufgenommen ist.
  • TECHNISCHES GEBIET
  • Die beschriebenen Ausführungsformen beziehen sich auf Metrologiesysteme und Verfahren, und insbesondere auf Verfahren und Systeme für die verbesserte Messung von Strukturen, die in der Halbleiterindustrie hergestellt werden.
  • HINTERGRUNDINFORMATION
  • Halbleiterbauelemente, wie z.B. Logik- und Speicherelemente, werden typischerweise durch eine Abfolge von Verarbeitungsschritten, die auf die Probe angewendet werden, hergestellt. Die verschiedenen Merkmale und mehreren strukturellen Ebenen der Halbleiterbauelemente werden durch diese Verarbeitungsschritte gebildet. Zum Beispiel ist die Lithographie, unter anderen Prozessschritten, ein Halbleiterherstellungsprozess, der die Erzeugung eines Musters auf einem Halbleiterwafer umfasst. Weitere Beispiele für Halbleiterherstellungsprozesse umfassen, sind aber nicht beschränkt auf, chemisch-mechanisches Polieren, Ätzen, Abscheidung und Ionenimplantation. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.
  • Optische Metrologieprozesse werden bei verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Messungen durchzuführen und Defekte auf Wafern zu detektieren, um eine höhere Ausbeute zu fördern. Optische Metrologietechniken bieten das Potenzial für einen hohen Durchsatz ohne das Risiko einer Zerstörung der Probe. Eine Anzahl von metrologiebasierten Techniken, darunter die Scatterometrie, die Ellipsometrie und Implementierungen der Reflektometrie, und zugehörige Analysealgorithmen werden häufig zur Charakterisierung von kritischen Abmessungen, Schichtdicken, der Zusammensetzung, des Overlays und anderer Parameter von Strukturen im Nanomaßstab verwendet.
  • Die Porosität von Schichten, die in der Herstellung von Halbleiterbauelementen verwendet werden, hat einen bedeutenden Einfluss auf die Funktion der Elemente. Poröse Schichten werden als dielektrische Lagen in dem Halbleiterherstellungsprozess verwendet. In einigen Beispielen wird die Dielektrizitätskonstante einer Schicht durch Erhöhen der Porosität reduziert. Dies führt zu einer reduzierten Schaltverzögerung und verbesserten Funktion des Elements. Es besteht ein Bedarf, die Porosität schnell und in einer zerstörungsfreien Weise zu messen. Insbesondere sind die Gesamtporosität, die Porengröße, die Verteilung der Porengröße und die Porenversiegelung interessierende Parameter für Anwendungen zur Prozessüberwachung und zur Überwachung der Maschine.
  • Gegenwärtig werden Porositätsmessungen am häufigsten unter Verwendung von Röntgenreflektivitäts- und Positronenvernichtungsspektroskopie-(PALS)-Techniken durchgeführt. Leider sind diese Techniken für Produktionsumgebungen ungeeignet.
  • Ellipsometrische Porosimetrie wurde als eine Technik zur Messung der Porosität auf Grundlage spektroskopischer Ellipsometrie (SE) untersucht. Diese Technik kombiniert Adsorptions-/Desorptions-lsothermen mit Messungen des Brechungsindexes von flüssigkeitsgefüllten Poren und flüssigkeitsfreien Poren. Leider werden diese Messungen gemeinhin in einer Hochvakuumumgebung durchgeführt, was als Referenztechnik im Labor taugt, aber für Produktion in großen Mengen unerwünscht ist. Beispielhafte Techniken werden beschrieben von A. Bourgeois et al. in „Description of the porosity of inhomogeneous porous low-k films using solvent adsorption studied by spectroscopic ellipsometry in the visible ränge", Thin Solid Films 455-456, Seiten 366-369 (2004), C. Negoro et al., „Nondestructive Characterization of a Series of Periodic Porous Silica Films by in situ Spectroscopic Ellipsometry in a Vapor Cell", Jap. J. of Appl. Phys. Vol. 43, No. 4, Seiten 1327-1329 (2004), und F.N. Dultsev, „Investigation of the microporous structure of porous layers using ellipsometric adsorption porometry", Thin Solid Films 458, Seiten 137-142 (2004), deren Inhalt hierin jeweils durch Verweis zur Gänze aufgenommen wird.
  • US-Patent 7,907,264 , an KLA-Tencor Corporation, welches hierin durch Verweis zur Gänze aufgenommen wird, beschreibt Verfahren zum Schätzen der Porosität einer dünnen Schicht auf Grundlage von Messungen des Brechungsindexes unter verschiedenen Bedingungen relativer Feuchtigkeit. Die Schätzung der Porosität beruht auf einer Korrelation zwischen dem Brechungsindex und der Schichtporosität. Jedoch wird die Korrelation off-line ermittelt, indem Messungen der Porosität einer repräsentativen Probe mit einer vertrauenswürdigen Messvorrichtung durchgeführt werden, etwa einem Röntgenreflektometer oder einem PALS-System.
  • Zukünftige Metrologieanwendungen stellen Herausforderungen dar aufgrund der zunehmend kleineren Auflösungserfordernisse, Korrelation mehrerer Parameter, zunehmend komplexer geometrischer Strukturen und zunehmender Verwendung opaker Materialien. Daher sind Verfahren und Systeme für verbesserte Messungen erwünscht.
  • ÜBERSICHT
  • Verfahren und Systeme zum Durchführen optischer Messungen geometrischer Strukturen, die durch kapillare Kondensation oder Poren-Kondensation mit einem Kondensat gefüllt werden, werden hierin vorgestellt. Die Messungen werden durchgeführt, während die lokale Umgebung um das der Messung unterliegende Metrologieziel herum mit einer Strömung von Spülgas behandelt wird, das eine kontrollierte Menge an Füllmaterial umfasst. Ein Teil des Füllmaterials (d.h. das Kondensat) kondensiert auf den zu vermessenden Strukturen und füllt Öffnungen in den strukturellen Merkmalen, etwa Poren einer planaren Schicht, den Abstand zwischen Strukturmerkmalen, kleine Volumina, wie z.B. Kerben, Gräben, Schlitze, Kontaktlöcher, usw.
  • In einem Aspekt wird der gewünschte Sättigungsgrad des verdampften Materials in der Gasströmung zu den zu vermessenden Strukturen auf Basis der maximalen Strukturgröße, die durch Gasadsorption gefüllt werden soll, bestimmt.
  • In einem anderen Aspekt werden modellbasierte Messungen der Porosität mit einem Datensatz durchgeführt, der Messsignale umfasst, die von einem Metrologieziel erfasst wurden, das mit einem Kondensat gefüllte geometrische Merkmale hat. Das Vorhandensein des Kondensats ändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält.
  • In einigen Beispielen werden mehrere Messungen eines Metrologiezieles für verschiedene Adsorptionszustände durchgeführt. Jede Messung entspricht einer unterschiedlichen Menge an Kondensat, das auf den zu vermessenden Strukturen kondensiert ist. Durch das Sammeln der Information des einem Metrologieziel zugeordneten Messsignals, wobei das Metrologieziel geometrische Merkmale hat, die mit unterschiedlichen Mengen an Kondensat gefüllt sind, wird die Parameterkorrelation zwischen nicht festgelegten Messparametern verringert und wird die Messgenauigkeit verbessert.
  • In einigen Ausführungsformen wird die Menge an verdampftem Füllmaterial in einer gasförmigen Strömung zu den der Messung unterliegenden Strukturen geregelt durch Steuern des Partialdrucks des Füllmaterials in der gasförmigen Strömung. In einigen Ausführungsformen wird ein Strom von ungesättigtem Spülgas mit einem Strom von gesättigtem Spülgas vermischt. Das Verhältnis dieser Ströme wird geregelt, um den Partialdruck des Füllmaterials im kombinierten Strom einzustellen.
  • In einigen Ausführungsformen wird ein Spülgas durch ein Flüssigkeitsbad des Füllmaterials gesprudelt, um einen Strom von Spülgas zu erzeugen, der mit Füllmaterial vollständig gesättigt ist. Der Partialdruck des dampfförmig im Strom des Spülgases vorliegenden Füllmaterials ist gleich dem Gleichgewichtsdruck des Füllmaterials über dem Flüssigkeitsbad des Füllmaterials.
  • In einigen Ausführungsformen wird das Flüssigkeitsbad des Füllmaterials bei der gleichen Temperatur wie die der Messung unterliegende Probe gehalten. In einigen anderen Ausführungsformen wird das Flüssigkeitsbad des Füllmaterials bei einer geringeren Temperatur als die der Messung unterliegende Probe gehalten.
  • In einigen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials bei dem Wafer durch Zugabe einer nicht-flüchtigen Substanz in ein Flüssigkeitsbad des Füllmaterials gesteuert, die den Gleichgewichtsdampfdruck des Füllmaterials verringert. In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials durch Steuern der Konzentration der Substanz in der Lösung geregelt.
  • In einigen Ausführungsformen weist das Füllmaterial eine Fluoreszenz in Reaktion auf das Beleuchtungslicht auf, das den zu vermessenden Strukturen zugeführt wird, um den Kontrast der Messung zu verbessern, vor allem in bildbasierten Messanwendungen.
  • Das Vorstehende ist eine Zusammenfassung und enthält somit notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Details. Folglich werden Fachleute auf dem Gebiet erkennen, dass die Zusammenfassung nur illustrativ ist und nicht in irgendeiner Weise als einschränkend zu verstehen ist. Andere Aspekte, erfindungsgemäße Merkmale und Vorteile der Vorrichtungen und/oder der hierin beschriebenen Verfahren werden in der nicht einschränkenden detaillierten Beschreibung ersichtlich, die hierin dargelegt ist.
  • Figurenliste
    • 1 ist ein Diagramm, das ein System 100 zu Messung der Porosität von Strukturen eines Halbleiter-Wafers unter Gasadsorption darstellt.
    • 2 ist ein Diagramm eines Dampfinjektionssystems 120 des Systems 100 in einer Ausführungsform.
    • 3 ist ein Diagramm eines Dampfinjektionssystems 120 des Systems 100 in einer weiteren Ausführungsform.
    • 4 zeigt eine Tabelle 127, die die Verdampfungsenthalpie ΔH für Wasser, Toluol und Ethanol enthält. Zusätzlich veranschaulicht Tabelle 127 den Unterschied zwischen einer Wafertemperatur und einer Temperatur eines Bads von flüssigem Füllmaterial, um eine relative Sättigung des Füllmaterials von 0,9 bei dem Wafer zu erzielen.
    • 5 stellt einen Plot 128 des Partialdrucks von Wasser als Funktion der Konzentration von Salzsäure im Wasserbad dar.
    • 6 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung zu Wasser, Toluol und Ethanol veranschaulicht.
    • 7 zeigt einen Plot 172, der den maximalen Durchmesser eines zylindrischen Lochs zeigt, das durch Adsorption bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann.
    • 8 zeigt einen Plot 160, der den maximalen Durchmesser eines langen, grabenartigen Merkmals darstellt, das durch Adsorption bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann.
    • 9 zeigt Messungen des Brechungsindex einer Tetraethyl-Orthosilikat-(TEOS)-Schichtstruktur mit einem spektroskopischen Ellipsometer wie mit Bezugnahme auf 1 beschrieben.
    • 10 zeigt eine Kurve 179 eines geschätzten Volumenporositätsprozentsatzes als Funktion der Wellenlänge, bestimmt auf Grundlage der in 9 gezeigten Messungen.
    • 11 zeigt eine Struktur 180 von kritischer Dimension, die einer Messung unterzogen wird und eine poröse Schicht 185 hat.
    • 12 zeigt Kurven 189-193 zu dem Brechungsindex der Schicht 185 als Funktion der Wellenlänge für unterschiedliche Werte der Porosität in einer Umgebung mit 50% relativer Feuchtigkeit.
    • 13 zeigt eine Zeitreihe von Messungen des Böschungswinkels der Struktur 180 mit einem spektroskopischen Ellipsometer, etwa wie dem SE-System, das mit Bezugnahme auf 1 beschrieben wird.
    • 14 zeigt ein Verfahren 200 zur Durchführung von Porositätsmessungen von Strukturen, die Gasadsorption unterliegen, in einem Beispiel.
  • DETAILLIERTE BESCHREIBUNG
  • Es wird nun im Detail auf Beispiele des Standes der Technik und einige Ausführungsformen der Erfindung Bezug genommen, von denen Beispiele in den beigefügten Zeichnungen dargestellt sind.
  • Verfahren und Systeme zum Durchführen von Porositätsmessungen an Halbleiterstrukturen, die mit einem Kondensat durch einen kapillaren Kondensationsprozess gefüllt sind, werden hierin gezeigt. Optische Messungen der Porosität werden durchgeführt, während die lokale Umgebung um die interessierenden Strukturen mit einem Strom von Spülgas behandelt wird, das eine geregelte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials (d.h. das Kondensat) kondensiert auf den zu vermessenden Strukturen und füllt Öffnungen in den strukturellen Merkmalen, Öffnungen zwischen strukturellen Merkmalen, etc. Das Vorhandensein des Kondensats ändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält.
  • In einigen Beispielen werden mehrere Messungen einer Struktur bei verschiedenen Adsorptionszuständen durchgeführt. Mit anderen Worten entspricht jede Messung einer unterschiedlichen Menge an Kondensat, die auf den zu vermessenden Strukturen kondensiert ist. Durch das Sammeln von Messsignalinformation, die mit Strukturen verbunden ist, die mit unterschiedlichen Mengen an Kondensat gefüllt sind, werden Porositätsmessungen mit einer angereicherten Menge von Messdaten durchgeführt.
  • In einigen Beispielen wird ein Schätzwert der Porosität einer Halbleiterstruktur direkt bestimmt auf Grundlage von Messungen der Struktur sowohl in einem ungefüllten als auch in einem gefüllten Zustand unter Verwendung eines Lorentz-Lorentz-Modells. In einigen dieser Beispiele wird die Porosität planarer Schichten, etwa dielektrischer Schichten mit niedrigem K und Tetraethyl-Orthosilikat-(TEOS)-Schichten, auf Grundlage der hierin beschriebenen Verfahren bestimmt. Porositätsmessungen können eine Schätzung der Porengröße, der Porenverteilung, des Porenvolumens, etc. beinhalten.
  • In einem weiteren Aspekt werden Messungen der Porosität und der kritischen Dimension von Halbleiterstrukturen auf Grundlage eines kombinierten Messmodells durchgeführt. Modellbasierte Messungen werden mit einem angereicherten Datensatz durchgeführt, der Messsignale beinhaltet, die von Strukturen gesammelt wurden, die mit einem Kondensat gefüllte geometrische Merkmale haben. Dies reduziert die Parameterkorrelation zwischen unbestimmten Parametern zur kritischen Dimension und zur Porosität und verbessert die Messgenauigkeit.
  • 1 stellt ein System 100 zur Messung von Eigenschaften eines Halbleiterwafers dar. Wie in 1 gezeigt, kann das System 100 verwendet werden, um spektroskopische Ellipsometrie-Messungen von einer oder mehreren Strukturen 114 eines Halbleiterwafers 112 durchzuführen, der sich auf einem Wafer-Positioniersystem 110 befindet. Gemäß dieser Ausführungsform kann das System 100 ein spektroskopisches Ellipsometer 101 umfassen, das mit einem Beleuchtungsgerät 102 und einem Spektrometer 104 ausgestattet ist. Das Beleuchtungsgerät 102 des Systems 100 ist derart konfiguriert, dass es eine Beleuchtung eines ausgewählten Wellenlängenbereichs (beispielsweise 100 - 2500 nm) erzeugt und auf die auf der Oberfläche des Halbleiterwafers 112 angeordnete Struktur 114 richtet. Das Spektrometer 104 wiederum ist derart konfiguriert, dass es Licht von der Oberfläche des Halbleiterwafers 112 empfängt. Es wird weiter angemerkt, dass das von dem Beleuchtungsgerät 102 ausgehende Licht polarisiert ist durch Verwendung eines Polarisationszustandserzeugers 107 zur Erzeugung eines polarisierten Beleuchtungsstrahls 106. Die von der auf dem Wafer 112 angeordneten Struktur 114 reflektierte Strahlung wird durch einen Analysator 109 für den Polarisationszustand und zu dem Spektrometer 104 geleitet. Die Strahlung, die von dem Spektrometer 104 mit dem Sammelstrahl 108 empfangen wird, wir in Bezug auf den Polarisationszustand analysiert, wobei eine Spektralanalyse der durch den Analysator geleiteten Strahlung möglich ist. Die erfassten Spektren 111 werden dem Computersystem 116 zur Analyse der Struktur 114 übergeben.
  • Das Computersystem 130 ist derart konfiguriert, dass es mit einer Messung verbundene Messdaten 111 (wie z.B. der kritischen Dimension, der Schichtdicke, der Zusammensetzung, des Prozesses, etc.) der durch Gasadsorption gefüllten Struktur 114 der Probe 112 empfängt. Gemäß einem Beispiel umfassen die Messdaten 111 eine Angabe der gemessenen spektralen Antwort der Probe durch das Messsystem 100, auf der Grundlage des einen oder der mehrerer Prozesse der Datenerfassung des Spektrometers 104. In einigen Ausführungsformen ist das Computersystem 130 ferner derart konfiguriert, dass es Probenparameterwerte der Struktur 114 aus den Messdaten 111 bestimmt. Gemäß einem Beispiel ist das Computersystem 130 derart konfiguriert, dass es unter Verwendung von „Real Time Critical Dimensioning“ (RTCD) auf Modellparameter in Echtzeit zugreift, oder es kann auf Bibliotheken von vorab berechneten Modellen zugreifen, um einen Wert zumindest eines mit der Zielstruktur 114 verbundenen interessierenden Parameters zu bestimmen. In einigen Ausführungsformen werden die abgeschätzten Werte des einen oder der mehreren interessierenden Parameter in einem Speicher abgelegt (wie z.B. Speicher 132). In der in 1 dargestellten Ausführungsform werden die abgeschätzten Werte 115 des einen oder der mehreren interessierenden Parameter an ein externes System (nicht dargestellt) übermittelt.
  • Im Allgemeinen ist die Ellipsometrie eine indirekte Methode zur Messung physikalischer Eigenschaften der inspizierten Probe. In den meisten Fällen können die Roh-Messsignale (z.B. αmeas und βmeas) nicht direkt verwendet werden, um die physikalischen Eigenschaften der Probe zu bestimmen. Das nominale Messverfahren umfasst die Parametrisierung der Struktur (wie z.B. Schichtdicken, kritische Dimensionen, Materialeigenschaften, etc.) und der Maschine (wie z.B. Wellenlängen, Einfallswinkel, Polarisationswinkel, etc.). Ein Messmodell wird erstellt, das versucht, die Messwerte (z.B. αmeas und βmeas) vorherzusagen. Wie in den Gleichungen (1) und (2) dargestellt, enthält das Modell der Maschine zugeordnete Parameter (PMaschine) und der Probe zugeordnete Parameter (PProbe). α M o d e l l = f ( P M a s c h i n e , P P r o b e )
    Figure DE112017002295T5_0001
    β M o d e l l = g ( P M a s c h i n e , P P r o b e )
    Figure DE112017002295T5_0002
  • Die Maschinenparameter sind Parameter, die zur Charakterisierung der Metrologiemaschine (wie z.B. Ellipsometer 101) verwendet werden. Exemplarische Maschinenparameter umfassen den Einfallswinkel (AOI, angle of incidence), den Analysatorwinkel (A0), Polarisatorwinkel (P0), die Beleuchtungswellenlänge, die numerische Apertur (NA), den Kompensator oder die Verzögerungsplatte (falls vorhanden), usw. Probenparameter sind Parameter, die verwendet werden, um die Probe (wie z.B. Probe 112 mit Strukturen 114) zu charakterisieren. Für eine dünne Schicht als Probe umfassen beispielhafte Probenparameter den Brechungsindex, den Tensor der dielektrischen Funktion, die Nennschichtdicke aller Schichten, die Schichtabfolge, etc. Bei einer CD-Probe umfassen beispielhafte Probenparameter geometrische Parameterwerte, die den verschiedenen Schichten zugeordnet sind, die Brechungsindizes, die den verschiedenen Schichten zugeordnet sind, usw. Für Messzwecke werden die Maschinenparameter als bekannte und feste Parameter behandelt, und einer oder mehrere der Probenparameter werden als unbekannte, unbestimmte Parameter behandelt.
  • In einigen Beispielen werden die unbestimmten Parameter durch ein iteratives Verfahren (wie z.B. Regression) bestimmt, das die beste Übereinstimmung zwischen den theoretischen Vorhersagen und den experimentellen Daten erzeugt. Die unbekannten Probeparameter, PProbe, werden variiert, und die Modellausgabewerte (wie z.B. αModell und βModell) werden berechnet, bis ein Satz von Probenparameterwerten bestimmt ist, der zu einer engen Übereinstimmung zwischen den Modellausgabewerten und den experimentellen Messwerten (wie z.B. αmeas und βmeas) führt. In einer modellbasierten Messanwendung, wie z.B. der spektroskopischen Ellipsometrie auf einer CD-Probe, wird ein Regressionsverfahren (wie z.B. gewöhnliche Regression der kleinsten Quadrate) verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den Modellausgabewerten und den experimentell gemessenen Werten für einen festen Satz von Werten der Maschinenparameter minimieren.
  • In einigen Beispielen werden die unbestimmten Parameter durch eine Suche über eine Bibliothek von vorab berechneten Lösungen bestimmt, um die beste Übereinstimmung zu finden. In einer modellbasierten Messanwendung, wie z.B. der spektroskopischen Ellipsometrie auf einer CD Probe, wird ein Suchverfahren in einer Bibliothek verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den vorab berechneten Ausgabewerten und den experimentell gemessenen Werten für einen festen Satz von Werten der Maschinenparameter minimieren.
  • In einigen anderen Beispielen werden modellbasierte Bibliotheks-Regression oder ein Signal-Antwort-Metrologiemodell verwendet, um Werte interessierender Parameter zu schätzen.
  • In einer modellbasierten Messanwendung sind oft vereinfachende Annahmen erforderlich, um einen ausreichenden Durchsatz zu erhalten. In einigen Beispielen muss die Trunkierungsordnung einer „Rigorous Coupled Wave Analysis“ (RCWA) reduziert werden, um die Rechenzeit zu minimieren. In einem anderen Beispiel wird die Anzahl oder Komplexität der Bibliotheksfunktionen reduziert, um die Suchzeit zu minimieren. In einem weiteren Beispiel wird die Anzahl von unbestimmten Parametern reduziert, indem bestimmte Parameterwerte fixiert werden. In einigen Beispielen führen diese vereinfachenden Annahmen zu nicht akzeptablen Fehlern bei der Schätzung der Werte eines oder mehrerer interessierender Parameter (wie z.B. von Parametern zur kritischen Dimension, Parametern zum Overlay, etc.). Durch die Ausführung von Messungen von Strukturen, die der Gasadsorption unterliegen, wie hierin beschrieben ist, kann das modellbasierte Messmodell mit reduzierten Parameterkorrelationen und einer erhöhten Messgenauigkeit gelöst werden.
  • Wie in 1 dargestellt, umfasst das Metrologiesystem 100 ein Dampfinjektionssystem 120, das derart gestaltet ist, dass es eine gasförmige Strömung 126 zu der Struktur 114 hin während der Messung bereitstellt. In einer Ausführungsform enthält die gasförmige Strömung 126 ein Spülgas und ein Füllmaterial, das im Spülgas verdampft vorliegt. Wenn die gasförmige Strömung in Kontakt mit der Struktur 114 kommt, erfolgt eine Adsorption, und ein Teil des Füllmaterials (d.h. das Kondensat) kondensiert auf der zu vermessenden Struktur 114. Das Kondensat füllt zumindest einen Teil eines oder mehrerer Strukturmerkmale der Struktur 114. Die Anwesenheit des Kondensats ändert die optischen Eigenschaften der zu messenden Struktur.
  • In einigen Ausführungsformen wird eine Messung durchgeführt, wenn der Spülgasstrom kein Füllmaterial enthält (z.B. reines Stickstoffgas oder saubere, trockene Luft), und eine weitere Messung, wenn der Spülgasstrom ein Füllmaterial beinhaltet, so dass das Kondensat vollständig die Öffnungen zwischen den zu vermessenden strukturellen Merkmalen füllt. Die aus diesen zwei Messungen gesammelten Messdaten werden an das Computersystem 130 übermittelt, und eine Abschätzung eines oder mehrerer interessierender Strukturparameter wird auf Basis der beiden Sätze von Messdaten vorgenommen.
  • In einigen Ausführungsformen wird eine Reihe von Messungen unter verschiedenen Adsorptionsbedingungen durchgeführt, so dass das Ausmaß an Kondensation auf den zu vermessenden Strukturmerkmalen für jede Messung unterschiedlich ist. Die in der Messreihe gesammelten Messdaten werden an das Computersystem 130 kommuniziert, und eine Abschätzung eines oder mehrerer interessierender Strukturparameter wird auf Basis der gesammelten Messdaten vorgenommen.
  • Wie in 1 dargestellt, wird eine Menge an Füllmaterial 123 aus einer Quelle 121 für Füllmaterial zu dem Dampfinjektionssystem 120 transportiert. Darüber hinaus wird ein Strom Spülgas 124 von einer Quelle 122 für Spülgas zu dem Dampfinjektionssystem transportiert. Das Dampfinjektionssystem 120 verursacht die Verdampfung des Füllmaterials in den Strom des Spülgases, um die gasförmige Strömung 126 zu erzeugen, die der zu vermessenden Struktur 114 zugeführt wird. Bei der in 1 dargestellten Ausführungsform werden der Strom des Spülgases und die Menge des Füllmaterials, das in den Strom des Spülgases verdampft wird, durch Befehlssignale 125 gesteuert, die vom Computersystem 130 an das Dampfinjektionssystem 120 kommuniziert werden. Somit steuern die Befehlssignale 125 die gewünschte Zusammensetzung der gasförmigen Strömung 126. Wie in 1 dargestellt, passiert die gasförmige Strömung 126 die Düse 105, die die gasförmige Strömung 126 mit den geeigneten Strömungseigenschaften zu dem gewünschten Ort auf dem Wafer 110 lenkt.
  • 1 zeigt eine gasförmige Strömung 126, die lokal dem zu vermessenden Metrologieziel zugeführt wird. Allerdings kann im Allgemeinen die gasförmige Strömung 126 über den gesamten Wafer, durch einen beliebigen Teil des Strahlengangs von der Beleuchtungsquelle zum Detektor, oder in jeder Kombination davon, bereitgestellt werden. Verschiedene Beispiele für die Bereitstellung eines Stroms von Spülgas über den Wafer und durch den Strahlengang zwischen der Beleuchtungsquelle und dem Detektor sind beschrieben im US-Patent 7,755,764 , von Hidong Kwak et al., erteilt am 13. Juli 2010, deren Gegenstand hierin durch Bezugnahme in seiner Gesamtheit aufgenommen ist.
  • Die Ausführungsformen des Systems 100 in 1 können weiterhin wie hier beschrieben konfiguriert sein. Zusätzlich kann das System 100 derart konfiguriert sein, dass ein beliebiger anderer Block oder beliebige anderen Blöcke der hierin beschriebenen Ausführungsform oder Ausführungsformen des Verfahrens ausgeführt werden können.
  • 2 ist eine Darstellung des Dampfinjektionssystems 120 gemäß einer Ausführungsform. In dieser Ausführungsform wird die Menge des in die dem zu vermessenden Wafer 112 zugeführte gasförmige Strömung 126 verdampften Füllmaterials (d.h. der Partialdruck des Kondensats) geregelt. Durch die Regelung des Partialdrucks des Füllmaterials werden die durch Kapillarkondensation gefüllten strukturellen Größen gesteuert.
  • In der Ausführungsform aus 2 ist der Partialdruck des in den Strom des Spülgases (wie z.B. Stickstoffgas, saubere und trockene Luft, etc.) verdampften Füllmaterials gleich dem Gleichgewichtsdruck des Füllmaterials über einem Flüssigkeitsbad des Füllmaterials, durch das das Spülgas gesprudelt wird. Gemäß einem Beispiel ist ein Dampfinjektionssystem nach dem Typ eines Blasdüsenrohrs ein Blasdüsenrohr aus Edelstahl mit einem Fassungsvermögen von 1,2 Litern mit der Modellbezeichnung Z553360, das kommerziell von Sigma-Aldrich, St. Louis, Missouri (USA) erhältlich ist.
  • Wie in 2 dargestellt, verläuft ein Teil 146 des Stroms 124 des Spülgases durch einen Massendurchflussregler 148A, und ein anderer Teil 145 des Stroms 124 des Spülgases verläuft durch einen Massendurchflussregler 148B. Die Strömungsraten der gasförmigen Ströme 146 und 145 werden über den Zustand der Massendurchflussregler 148A bzw. 148B gesteuert, z.B. eine Position eines Ventils eines Massendurchflussreglers. Auf diese Weise wird die Menge des Stroms 124 des Spülgases, in den das Füllmaterial verdampft wird, durch Massendurchflussregler 148B, und die Menge des Stroms 124 des Spülgases, das nicht der Verdampfung unterliegt, durch Massendurchflussregler 148B gesteuert. In der in 2 dargestellten Ausführungsform enthält Befehlssignal 125, das vom Computersystem 130 an das Dampfinjektionssystem 120 kommuniziert wird, mehrere Signale 149A-C. Das Signal 149A enthält eine Angabe über den gewünschten Fluss durch den Massendurchflussregler148A. In Antwort darauf passt sich der Massendurchflussregler 148A an den gewünschten Durchfluss an, und passt somit auch den gewünschten Anteil des Stroms des Spülgases an, in den kein Füllmaterial verdampft wird. Das Signal 149B enthält eine Angabe über den gewünschten Fluss durch den Massendurchflussregler 148B. In Antwort darauf passt sich Massendurchflussregler 148B an den gewünschten Fluss an, und passt somit auch den gewünschten Anteil des Stroms des Spülgases an, in den Füllmaterial verdampft wird. Anteil 145 des Stroms 124 des Spülgases verläuft durch ein Absperrventil 142, ein Durchflussregelventil 143 und in das Blasdüsenrohr 140. Im Blasdüsenrohr 140 wird eine Menge des Füllmaterials in den Teil 145 des Stroms 124 des Spülgases verdampft, um eine gasförmige Strömung 147 des Spülgases und des Füllmaterials zu erzeugen. Die gasförmige Strömung 147 wird mit dem Teil 146 des Spülgases kombiniert, der nicht durch das Blasdüsenrohr 140 geflossen ist, um die gasförmige Strömung 126 zu erzeugen.
  • In einigen Ausführungsformen werden die Massendurchflussregler 149A und 149B so gesteuert, dass die Gesamtheit des Stroms 124 des Spülgases entweder durch das Blasdüsenrohr 140 fließt oder das Blasdüsenrohr 140 vollständig umgeht. Auf diese Weise ist die gasförmige Strömung 126 entweder ein Strom 124 von trockenem Spülgas mit einem Partialdruck Null des Füllmaterials, oder der gesamte Strom 124 des Spülgases unterliegt der Verdampfung des Füllmaterials.
  • Wenn das Füllmaterial im Blasdüsenrohr 140 verdampft und als gasförmige Strömung 147 weggetragen wird, fließt zusätzliches Füllmaterial 123 von Quelle 121 für das Füllmaterial, um im Blasdüsenrohr 140 einen konstanten Füllstand zu halten. In einigen Ausführungsformen wird der Füllstand automatisch auf Basis eines Niveau-Sensors und eines Durchflusssteuerungsschemas geregelt. In einigen anderen Ausführungsformen wird der Füllstand periodisch durch einen manuellen Füllvorgang gehalten.
  • In einer Ausführungsform wird der Grad der Sättigung des verdampften Füllmaterials in der gasförmigen Strömung 126 bei einer Umgebungstemperatur Ta durch Einstellen des Anteils des Stroms 145 des Spülgases, in den Füllmaterial verdampft wird, relativ zu dem Teil des Stroms 146, der nicht der Verdampfung unterliegt, gesteuert. In einer bevorzugten Ausführungsform wird die Temperatur des Füllmaterials im Blasdüsenrohr 140 bei der gleichen Temperatur (beispielsweise Umgebungstemperatur Ta) wie der zu vermessende Wafer gehalten. Unter diesen Bedingungen wird die relative Sättigung p0/p des Füllmaterials in der gasförmigen Strömung 126 mittels Gleichung (3) beschrieben, wobei F1 die Strömungsrate der vollständig gesättigten gasförmigen Strömung 147 und F2 die Strömungsrate der ungesättigten gasförmigen Strömung 146 ist. p p 0 = F 1 ( F 1 + F 2 )
    Figure DE112017002295T5_0003
  • Wie in 2 gezeigt, werden die gasförmigen Ströme 146 und 147 kombiniert, um den gasförmigen Strom 126 zu bilden, der dem zu vermessenden Wafer zugeführt wird. Somit wird der gesamte Strom, der dem zu vermessenden Wafer zugeführt wird, durch Übermittlung von Befehlssignalen 148A und 148B gesteuert, um die Summe von F1 und F2 zu regulieren. Die relative Sättigung des Stroms, der dem zu vermessenden Wafer zugeführt wird, wird durch die Übermittlung der Befehlssignale 148A und 148B gesteuert, um das Verhältnis von F1 und F2 zu regulieren.
  • In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta geregelt, indem das Flüssigkeitsbad bei einer Temperatur T unterhalb der Umgebungstemperatur gehalten wird. Die Beziehung zwischen Gleichgewichtsdampfdruck p0 einer reinen Substanz und Temperatur T wird durch die Clausius-Clapyron Gleichung gegeben, die durch Gleichung (4) dargestellt ist, wobei ΔH die Verdampfungsenthalpie der reinen Substanz und R die ideale Gaskonstante ist, die 8,31 J/ Mol °K beträgt. d ln ( p 0 ) d 1 T = Δ H R
    Figure DE112017002295T5_0004
  • Ausgehend von Gleichung (4) lässt sich die relative Sättigung p/p0 für ein bei einer Temperatur T gesättigtes Füllmaterial, wobei die Temperatur T kleiner als die Umgebungstemperatur Ta ist, durch Gleichung (5) darstellen. ln p p 0 = Δ H R ( 1 T a 1 T )
    Figure DE112017002295T5_0005
  • 4 zeigt eine Tabelle 127 mit der Verdampfungsenthalpie ΔH für Wasser, Toluol und Ethanol. Jede dieser Substanzen kann als Füllmaterial, wie hierin beschrieben, geeignet sein. Zusätzlich zeigt Tabelle 127 den Unterschied zwischen der Umgebungstemperatur (d.h. Temperatur des Wafers) und der Badtemperatur, wenn die Umgebungstemperatur 25 Grad Celsius und die gewünschte relative Sättigung des Füllmaterials p/p0 0,9 beträgt. Wie in Tabelle 127 gezeigt, wird, indem die Badtemperatur im dargestellten Ausmaß unterhalb der Umgebungstemperatur gehalten wird, ein Partialdruck von 0,9 für jedes der aufgelisteten Füllmaterialien gehalten. Es kann vorteilhaft sein, wenn eine beliebige dieser Substanzen als Füllmaterial verwendet wird, weil es eine relativ einfache Angelegenheit ist, einen Temperaturunterschied von etwa zwei Grad Celsius zwischen dem Wafer und dem Flüssigkeitsbad des Blasdüsenrohrs 140 zu halten. Bei dieser Ausführungsform ist es möglich den Sättigungsgrad des verdampften Füllmaterials in der gasförmigen Strömung 126 bei einer Umgebungstemperatur Ta zu steuern, ohne dass ein Strom von trockenem Spülgas 146 mit dem Strom des gesättigten Spülgases 147 kombiniert wird. Mit anderen Worten, der Strom 146 kann auf Null gesetzt werden, und der Sättigungsgrad des verdampften Füllmaterials in der gasförmiger Strömung 126 bei einer Umgebungstemperatur Ta wird durch die Temperaturdifferenz zwischen der Temperatur des Blasdüsenrohrs und der Temperatur des Wafers geregelt. In einigen anderen Beispielen wird ein Strom von trockenem Spülgas 146 mit dem Strom von gesättigtem Spülgas 147 kombiniert, und der Sättigungsgrad des verdampften Füllmaterials in der gasförmigen Strömung 126 bei einer Umgebungstemperatur Ta wird durch eine Kombination aus einer Temperaturdifferenz zwischen der Temperatur des Blasdüsenrohrs und der Temperatur des Wafers und dem Verhältnis der Strömungsraten der gasförmigen Strömung 146 und der gasförmigen Strömung 147 gesteuert.
  • In einigen Ausführungsformen werden die Badtemperatur und die Temperatur des Wafers gemessen und an das Computersystem 130 kommuniziert. Das Computersystem bestimmt eine Differenz zwischen der Temperatur des Wafers und der Badtemperatur und berechnet eine gewünschte Temperatur des Wafers, eine gewünschte Badtemperatur, oder beides. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal 149C, das eine gewünschte Badtemperatur angibt, an das Dampfinjektionssystem 120. Als Antwort passt das Dampfinjektionssystem 120 die Badtemperatur unter Verwendung einer lokalen Heiz- oder Kühleinheit (nicht gezeigt) auf den gewünschten Wert an. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal (nicht dargestellt), das eine gewünschte Temperatur des Wafers angibt, an ein Subsystem (nicht dargestellt) zur Konditionierung des Wafers. Als Reaktion stellt das Subsystem zur Konditionierung des Wafers die Temperatur des Wafers unter Verwendung einer Heiz- oder Kühleinheit (nicht gezeigt) für den Wafer auf den gewünschten Wert ein. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal 113 (dargestellt in 1), das eine gewünschte Temperatur des Wafers angibt, an ein lokales Heizelement 103 für den Wafer. Als Reaktion stellt die Heizeinheit 103 die Temperatur des Wafers lokal (d.h. in der unmittelbaren Nähe der Messstelle) auf den gewünschten Wert ein, unter Verwendung eines Strahlungs-Heizelements.
  • In einigen Ausführungsformen wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch ein Computersystem gesteuert, das mit dem Dampfinjektionssystem 120 assoziiert ist. In diesem Sinne wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch das Computersystem 130 als ein nicht einschränkendes Beispiel angeführt. Jede geeignete Steuerungsarchitektur und Temperaturregelung kann im Rahmen dieses Patentdokuments in Betracht gezogen werden.
  • 3 ist ein Diagramm, das Dampfinjektionssystem 120 in einer weiteren Ausführungsform zeigt. Gleich nummerierte Elemente entsprechen denen, die unter Bezugnahme auf 2 beschrieben wurden.
  • Wie in 3 dargestellt ist, verläuft der Strom des Spülgases 124 durch ein Dreiwegeventil 141. Bei einigen Ausführungsformen teilt das Dreiwegeventil 141 den Strom 124 des Spülgases auf in einen Teil 145, der durch das Blasdüsenrohr 140 strömt, und einen Teil 146, der nicht durch das Blasdüsenrohr 140 fließt, abhängig von einer Position des Dreiwegeventils. Auf diese Weise wird die Menge des Stroms des Spülgases 124, in die Füllmaterial verdampft wird, durch das Dreiwegeventil 141 gesteuert. In der in 3 dargestellten Ausführungsform umfasst das vom Computersystem 130 zum Dampfinjektionssystem 120 kommunizierte Befehlssignal 125 mehrere Signale 149C-D. In der in 3 dargestellten Ausführungsform umfasst das Signal 149D eine Angabe der gewünschten Position des Dreiwegeventils 141. Als Antwort stellt das Dreiwegeventil 141 die gewünschte Position ein, und somit den gewünschten Anteil des Stroms des Spülgases, in welchen Füllmaterial verdampft wird. Der Teil 145 des Stroms 124 des Spülgases verläuft durch ein Absperrventil 142, ein Durchflussregelventil 143 und in ein Blasdüsenrohr 140. Im Blasdüsenrohr 140 wird eine Menge des Füllmaterials in den Teil 145 des Stroms 124 des Spülgases verdampft, um eine gasförmige Strömung 147 aus Spülgas und dem Füllmaterial zu erzeugen. Die gasförmige Strömung 147 wird mit dem Teil 146 des Spülgases kombiniert, der nicht durch das Blasdüsenrohr 140 geströmt ist, um die gasförmige Strömung 126 zu erzeugen.
  • In einigen Ausführungsformen wir das Dreiwegeventil 141 so gesteuert, dass die Gesamtheit des Stroms 124 des Spülgases entweder durch das Blasdüsenrohr 140 fließt oder das Blasdüsenrohr 140 vollständig umgeht, was von einer Position des Dreiwegeventils abhängt. Auf diese Weise ist die gasförmige Strömung 126 entweder ein Strom 124 von trockenem Spülgas mit Null-Partialdruck des Füllmaterials, oder der gesamte Strom 124 des Spülgases unterliegt der Verdampfung des Füllmaterials, was vom Zustand des Dreiwegeventils 141 abhängt.
  • Wie mit Bezug auf 3 beschrieben, wird die Menge des Füllmaterials, das dem zu vermessenden Wafer zugeführt wird, gesteuert, indem der Teil 145 des Stroms 124 des Spülgases, der der Verdampfung des Füllmaterials unterliegt, relativ zu dem Teil 146 des Stroms 124 des Spülgases, bei dem dies nicht der Fall ist, geregelt wird. Darüber hinaus wird der Grad der Sättigung des verdampften Füllmaterials bei der Temperatur des Wafers durch die Regelung der Differenz zwischen der Temperatur des Wafers und der Badtemperatur gesteuert.
  • In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei Umgebungstemperatur durch Zugabe eines nicht-flüchtigen gelösten Stoffes zu einem flüssigen Bad eines Lösungsmittels (d.h. Füllmaterial) gesteuert, der den Gleichgewichtsdampfdruck des Lösungsmittels im Vergleich zum Gleichgewichtsdampfdruck des Lösungsmittels allein senkt. Gemäß einem Beispiel zeigt eine Lösung aus Wasser als Lösungsmittel und einem nicht-flüchtigen gelösten Stoff (wie z.B. Natriumchlorid, Salzsäure, etc.) einen Dampfdruck des Wassers, der kleiner ist als der Gleichgewichtsdampfdruck von reinem Wasser. 5 stellt einen Plot 128 des Partialdrucks von Wasser als Funktion der Konzentration von Salzsäure in dem Bad aus Wasser dar. Ein ähnliches Ergebnis besteht für eine Lösung von Natriumchlorid in Wasser. Beispielsweise ergibt eine Lösung von 6% Natriumchlorid in Wasser eine relative Feuchtigkeit p/p0 von 90%.
  • In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials (d.h. des Lösungsmittels) geregelt, indem die Konzentration des gelösten Stoffes in der Lösung gesteuert wird. In einigen Ausführungsformen wird die Menge an Lösungsmittel in dem Bad gesteuert, um die gewünschte Konzentration und damit den erwünschten Partialdruck des verdampften Lösungsmittels aufrechtzuerhalten. In diesen Ausführungsformen ist eine präzise Temperaturregelung nicht erforderlich, solange die Badtemperatur nominell an der Umgebungstemperatur (d.h. Temperatur des Wafers) gehalten wird.
  • Im Allgemeinen können jedes geeignete Spülgas und Füllmaterial zur Verwendung bei der Durchführung von Messungen wie hier beschrieben ausgewählt werden. Exemplarische Spülgase umfassen inerte Gase, Stickstoff und saubere, trockene Luft. Die Auswahl eines geeigneten Spülgases wird hauptsächlich durch dessen Verfügbarkeit in einer Halbleiterfertigungsanlage bestimmt. Exemplarische Füllmaterialien umfassen Wasser, Ethanol, Isopropylalkohol, Methanol, Benzol, Toluol usw. Die Auswahl geeigneter Füllmaterialien wird durch die Fähigkeit bestimmt, den Dampfdruck, die Eigenschaften zur Füllung eines Hohlraums, die optischen Eigenschaften und jegliche chemische Wechselwirkungen zwischen dem Füllmaterial und der zu vermessenden Probe zu steuern.
  • Beispielsweise können sowohl der Brechungsindex des Füllmaterials als auch der Absorptionskoeffizient des Füllmaterials in dem zugrundeliegenden Messmodell berücksichtigt werden, da das flüssige Füllmaterial nicht nur einfallendes Licht bricht, sondern auch einfallendes Licht absorbiert. Beide dieser Eigenschaften erzeugen Unterschiede zwischen Messungen, die mit Füllmaterial durchgeführt werden, und Messungen, die ohne Füllmaterial durchgeführt werden; dies ist insbesondere bei relativ kurzen Beleuchtungswellenlängen (z.B. Vakuum-UV-Wellenlängen von 120 Nanometer bis 190 Nanometer) der Fall, und auch bei relativ langen Beleuchtungswellenlängen (z.B. Infrarot-Wellenlängen, die sich bis 2500 Nanometer und darüber hinaus erstrecken). Somit bietet die Auswahl eines flüssigen Füllmaterials, das sich sowohl im Brechungsindex als auch im Absorptionskoeffizienten erheblich von Luft unterscheidet, die Möglichkeit für reduzierte Parameterkorrelationen in einer Messauswertung mit mehreren Zielen. Zusätzlich bietet die Auswahl eines flüssigen Füllmaterials, das sowohl im Brechungsindex als auch im Absorptionskoeffizienten als Funktion der Beleuchtungswellenlänge variiert, die Möglichkeit reduzierter Parameterkorrelationen bei einer spektralen Messanalyse. In einigen Ausführungsformen werden Messungen unter Verwendung eines Füllmaterials wie etwa deionisiertem Wasser mit einer Anzahl von unterschiedlichen spektralen Metrologietechniken durchgeführt, die ein breites Spektrum von Wellenlängen zwischen 100 Nanometer und 2500 Nanometer erfassen.
  • Beispielhafte Metrologietechniken umfassen die spektroskopische Ellipsometrie, die Mueller-Matrix-Ellipsometrie, die spektroskopische Reflektometrie, die winkelaufgelöste Reflektometrie usw.
  • In einem weiteren Aspekt bietet eine Auswahl eines flüssigen Füllmaterials, das Fluoreszenz bei Beleuchtungswellenlängen aufweist, die Möglichkeit für reduzierte Parameterkorrelationen bei bildbasierten Messanalysen. In einigen Ausführungsformen erhöht die Fluoreszenz des Füllmaterials den Bildkontrast und verbessert die Messleistung der bildbasierten Messtechniken, wie des bildbasierten Overlays, der bildbasierten Inspektion (z.B. Dunkelfeld- und Hellfeldinspektion), usw.
  • In einem weiteren Aspekt wird Kapillarkondensation eingesetzt, um Räume zwischen geometrischen, strukturellen Merkmalen eines Metrologieziels selbst (beispielsweise Strukturen mit kritischer Dimension (CD), Gitterstrukturen, Overlay-Strukturen, etc.) während der Messung des Metrologieziels zu füllen. Im Allgemeinen wird der gewünschte Sättigungsgrad des verdampften Materials in der gasförmigen Strömung 126 auf Basis der durch Gasadsorption zu füllenden maximalen Merkmalsgröße bestimmt. Die Kapillarkondensation wird verwendet, um kleine Merkmale (beispielsweise Poren, kleine Volumina wie Kerben, Gräben, Schlitze, Kontaktlöcher, etc.) mit einem Füllmaterial zu füllen. Die Kelvin-Gleichung liefert eine annähernde Berechnung der maximalen Merkmalsgröße, die mit einem bestimmten Füllmaterial, bei einem bestimmten Partialdruck des Füllmaterials, und bei einer bestimmten Umgebungstemperatur (z.B. Temperatur des Wafers) gefüllt werden kann. Die Gleichung (6) zeigt die Kelvin-Gleichung für einen kondensierten Meniskus mit zwei unterschiedlichen Radien, r1 und r2, wobei R die ideale Gaskonstante, Ta die Umgebungstemperatur, V das Molvolumen des Füllmaterials, γ die Konstante der Oberflächenspannung zu dem Füllmaterial, und p/p0 der Partialdruck des Füllmaterials ist. 1 r 1 + 1 r 2 = R T a γ V ln ( p p 0 )
    Figure DE112017002295T5_0006
  • 6 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung zu Wasser, Toluol und Ethanol aufzeigt.
  • Für zylindrische Lochmerkmale oder Porenmerkmale ist r1 gleich r2. 7 zeigt einen Plot 172 des maximalen Durchmessers eines zylindrischen Lochs oder einer Pore, das/die durch Adsorption/Kondensation gemäß Gleichung (6) gefüllt werden kann. Plot 172 zeigt den maximalen Durchmesser eines zylindrischen Loches, das durch Wasser (Kurve 175), Ethanol (Kurve 174) und Toluol (Kurve 173) für unterschiedliche Partialdrücke eines jeden Füllmaterials und bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie in 7 dargestellt ist, können zylindrische Löcher mit einem Durchmesser bis zu 40 Nanometer gefüllt werden, wenn die gasförmige Strömung 126 dem Metrologieziel mit einem Partialdruck von Wasser oder Ethanol von 95% oder mehr zugeführt wird. Wie auch in 7 dargestellt ist, können zylindrische Löcher mit einem Durchmesser bis zu 90 Nanometer gefüllt werden, wenn die gasförmige Strömung126 dem Metrologieziel mit einem Partialdruck von Toluol von 95% oder mehr zugeführt wird.
  • Für Linien und Zwischenräume ist r2 unendlich. 8 zeigt ein Diagramm 160, das den maximalen Durchmesser eines langen, grabenartigen Merkmals darstellt, das durch Adsorption gemäß Gleichung (6) gefüllt werden kann. Plot 160 zeigt den maximalen Durchmesser eines Grabens, der mit Wasser (Kurve 164), mit Ethanol (Kurve 163) und mit Toluol (Kurve 162) für unterschiedliche Partialdrücke eines jeden Füllmaterials bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie dargestellt, ist der maximale Durchmesser über ein langes, grabenartiges Merkmal der halbe Maximaldurchmesser eines Merkmals, das ein zylindrisches Loch ist. Wie in den 7 und 8 dargestellt ist, scheinen sich die Kurven für Wasser und Ethanol zu überlappen, da das Verhalten von Ethanol als Füllmaterial sehr ähnlich dem von Wasser ist.
  • In einem Aspekt wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta so eingestellt, dass alle Merkmale unterhalb einer gewünschten maximalen Merkmalsgröße gefüllt werden. In einigen Ausführungsformen wird dies durch Steuerung des Verhältnisses eines der Verdampfung unterliegenden Stroms von Spülgas und eines nicht der Verdampfung unterliegenden Stroms von Spülgas, wie zuvor beschrieben, erreicht. In einigen Ausführungsformen wird dies durch Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Flüssigkeitsbad des Füllmaterials erreicht. In einigen anderen Ausführungsformen wird dies durch Steuern der Konzentration des nicht-flüchtigen, gelösten Stoffes in dem Flüssigkeitsbad des Füllmaterials erreicht.
  • Spektroskopische Messungen des Brechungsindexes einer dünnen Schicht verändern sich in Abhängigkeit von der relativen Feuchtigkeit der Umgebung, die die zu vermessende Struktur umgibt. Veränderungen in dem geschätzten Wert des Brechungsindexes sind auf veränderliche Grade der Kondensation in Poren der Schichtstruktur zurückzuführen. In einem Beispiel benetzt Wasser eine Schicht aus Siliziumdioxid derart, dass die Oberfläche des in einer Pore kondensierten Wassers eine negative Krümmung (d.h. einen Meniskus) mit Radius r hat, der ungefähr gleich dem Radius der Pore ist. Bei Raumtemperatur, T=298K, bei einer relativen Feuchtigkeit von ungefähr 50%, füllen sich Poren mit einem Radius von ungefähr zwei Nanometern und darunter mit Wasser.
  • 9 zeigt Messungen des Brechungsindexes einer Tetraethylorthosilikat-(TEOS)-Schichtstruktur mit einem spektroskopischen Ellipsometer wie mit Bezugnahme auf 1 beschrieben. Kurve 176 zeigt die Messergebnisse, wenn die Schicht in einer trockenen Umgebung gefüllt wird. Kurve 177 zeigt die Messergebnisse, wenn die Schichtmessungen bei einer relativen Feuchtigkeit von ungefähr 50% durchgeführt werden. Kurve 178 zeigt die Messergebnisse für den Brechungsindex von Wasser selbst.
  • Der Zusammenhang zwischen dem Brechungsindex gefüllter und leerer Poren und dem Brechungsindex des Füllmaterials ist durch die Lorentz-Lorenz-Gleichung gegeben, die in Gleichung (7) gezeigt ist, wobei Vopen das Volumen ungefüllter Poren, ηf der Brechungsindex bei gefüllten Poren, ηe der Brechungsindex bei ungefüllten Poren und ηads der Brechungsindex des Kondensatmaterials selbst ist. V o p e n = ( η f 2 1 ) ( η e 2 1 ) ( η f 2 + 2 ) ( η e 2 + 2 ) ( η a d s 2 1 ) ( η a d s 2 + 2 )
    Figure DE112017002295T5_0007
  • Die mit allen Poren mit einem Radius unter zwei Nanometern (d.h. Poren, die bei einer relativen Feuchtigkeit von 50% mit Wasser gefüllt sind) assoziierte Porosität wird durch Anwendung der Lorentz-Lorenz-Gleichung auf die Messdaten bestimmt. 10 zeigt eine Kurve 179 des geschätzten Volumenporositätsprozentsatzes als Funktion der Wellenlänge, bestimmt auf Grundlage der in 9 gezeigten Messungen. Wie in 10 gezeigt, hat die TEOS-Schicht einen Volumenporositätsprozentsatz von ungefähr drei Prozent für Poren mit einem Radius unter zwei Nanometer.
  • In einigen Beispielen wird die Verteilung der Porengröße in ähnliche Weise bestimmt, basierend auf Messungen des Brechungsindexes bei einer Anzahl unterschiedlicher Bedingungen der relativen Feuchtigkeit (d.h. Partialdruck, p/p0).
  • In einem weiteren Aspekt werden Messungen der Porosität und der kritischen Dimension durchgeführt, bei unterschiedlichen Sättigungsgraden des verdampften Füllmaterials bei der Umgebungstemperatur, so dass alle Merkmale unterhalb eines Bereichs maximaler Merkmalsgrößen gefüllt sind. Die Messungen werden zu einer modellbasierten Messung mehrerer Ziele zusammengefasst, um die Werte der Porosität und ein oder mehrere kritische Dimensionen mit reduzierter Parameterkorrelation und verbesserter Messperformanz zu schätzen.
  • 11 zeigt eine vermessene Struktur 180 mit einer kritischen Dimension CD von ungefähr sechzig Nanometern. Die Struktur 180 beinhaltet eine Anzahl Lagen 181-188. Die Lage 185 ist eine poröse Lage und hat eine Höhe H von ungefähr einhundertfünfzig Nanometern und einen Böschungswinkel (SWA, sidewall angle). Da die Lage 185 porös ist, ändert sich ihr Brechungsindex in Abhängigkeit von dem Partialdruck des Füllmaterials, das die Struktur während der Messung umgibt, wie hierin zuvor beschrieben.
  • 13 zeigt eine Zeitreihe von Messungen des Böschungswinkels der Struktur 180 mit einem spektroskopischen Ellipsometer, etwa das mit Bezugnahme auf 1 beschriebene SE-System. In einem ersten Zeitabschnitt, A, wird um die vermessene Struktur 180 herum trockenes Spülgas zugeführt. Im nächsten Zeitabschnitt, B, wird das trockene Spülgas entfernt und feuchte Luft umgibt die vermessene Struktur 180. Im nächsten Zeitabschnitt, C, wird um die vermessene Struktur 180 herum wiederum das trockene Spülgas zugeführt. Die in 13 gezeigten modellbasierten Messergebnisse werden mit einem festen Wert des Brechungsindexes durchgeführt. Jedoch ändert sich der Brechungsindex der Lage 185 in Abhängigkeit von dem Partialdruck des Füllmaterials (d.h. Wasser), das die Struktur 180 während der Messung umgibt. Falls der Wert des Brechungsindexes in der modellbasierten Messung fixiert ist, hat dies Auswirkungen auf die Messung des Böschungswinkels. Wie in 13 gezeigt, ändert sich der gemessene Wert des Böschungswinkels in Abhängigkeit von der Feuchtigkeitsbedingung, die die poröse Lage 185 umgibt, obwohl der Böschungswinkel tatsächlich fest ist. Diese Änderung des geschätzten Werts ergibt sich, weil die Berechnung der CD/Form eine Multiparameter-Regression ist, und eine Veränderung im Brechungsindex einer beliebigen Lage zu einer Veränderung des Werts für alle Lagen führt.
  • In einigen Ausführungsformen wird der Brechungsindex der porösen Schicht 185 als unbestimmt angesehen (d.h. als ein unbekannter, zu bestimmender Wert behandelt), und Messungen werden bei einer Anzahl unterschiedlicher Partialdrücke des Füllmaterials durchgeführt. Eine Multiparameter-Regression wird durchgeführt, um sowohl den Böschungswinkel als auch den Brechungsindex der porösen Lage 185 zu bestimmen. Der Brechungsindex steht mit der Porosität über die Lorentz-Lorentz-Gleichung in Beziehung. Wird diese Beziehung in das Messmodell aufgenommen, so bestimmt die Multiparameter-Regression des Messmodells sowohl den Böschungswinkel als auch die Porosität der Lage 185.
  • 12 zeigt Kurven 189-193 zu dem Brechungsindex der Lage 185 als Funktion der Wellenlänge für unterschiedliche Grade der Porosität in einer Umgebung mit 50% relativer Feuchtigkeit (d.h. bei einem Partialdruck von Wasser von 0.5). Kurve 189 zeigt den Brechungsindex für eine Lage ohne Porosität, Kurve 190 zeigt den Brechungsindex für eine Lage mit 1% Volumenporosität, Kurve 191 zeigt den Brechungsindex für eine Lage mit 3% Volumenporosität, Kurve 192 zeigt den Brechungsindex für eine Lage mit 5% Volumenporosität, und Kurve 193 zeigt den Brechungsindex für eine Lage mit 10% Volumenporosität.
  • Zum Zwecke der Veranschaulichung wurde die Schätzung des Böschungswinkels neu berechnet, unter Verwendung von Daten, die während des Zeitabschnitts B erfasst wurden, wobei drei verschiedene fixierte Werte des Brechungsindexes der Lage 185 verwendet wurden. Die Schätzwerte 196 wurden auf Grundlage eines angenommenen Brechungsindexes bestimmt, der zu Kurve 189 passte. Die Schätzwerte 195 wurden auf Grundlage eines angenommenen Brechungsindexes bestimmt, der zu Kurve 190 (d.h. 1% Porosität) passte. Die Schätzwerte 194 wurden auf Grundlage eines angenommenen Brechungsindexes bestimmt, der zu Kurve 191 (d.h. 3% Porosität) passte. Wie in 13 gezeigt, entspricht ein Schätzwert der Porosität von ungefähr 2% der Veränderung der Messung zwischen trockenem Spülgas und Luft mit 50% relativer Feuchtigkeit. Auf diese Weise werden CD/Form-Parameter und Porosität auf Grundlage der gleichen Messdaten geschätzt.
  • Im Allgemeinen umfasst eine Messstelle eines oder mehrere Metrologieziele, die durch ein Messsystem (z.B. Metrologiesystem 100 in 1) gemessen werden. Im Allgemeinen kann die Messdatenerfassung über den gesamten Wafer oder eine Untermenge der Waferfläche durchgeführt werden. Darüber hinaus werden in einigen Ausführungsformen die Metrologieziele hinsichtlich der Druckbarkeit und der Empfindlichkeit gegenüber Veränderungen bei Prozessparametern und/oder interessierenden Strukturparametern entworfen. In einigen Beispielen sind die Metrologieziele spezialisierte Ziele. In einigen Ausführungsformen basieren die Metrologieziele auf konventionellen Linien/Zwischenraum-Zielen. Als nicht einschränkendes Beispiel können CD-Ziele, SCOL-Ziele oder AiM™-Ziele verwendet werden, die von KLA-Tencor Corporation Milpitas, Kalifornien (USA) erhältlich sind. In einigen anderen Ausführungsformen sind die Metrologieziele bauelementartige Strukturen. In einigen anderen Beispielen sind die Metrologieziele Bauelementstrukturen oder Teile von Bauelementstrukturen. Unabhängig von der Art des eingesetzten Metrologieziels wird ein Satz von Metrologiezielen gemessen, die eine Empfindlichkeit gegenüber den untersuchten Prozessvariationen und/oder untersuchten Strukturvariationen aufweisen, wobei das Füllen von Formen mittels Kapillarkondensation, wie hierin beschrieben, verwendet wird.
  • In einem weiteren Aspekt werden Messdaten von CD-Strukturen gesammelt, wenn die CD-Strukturen gefüllt sind (d.h. der hierin beschriebenen Gasadsorption unterliegen), und wenn sie nicht gefüllt sind (d.h. nicht der Gasadsorption unterliegen). Die gesammelten Daten werden in einer modellbasierten Messung mehrerer Ziele kombiniert, um die Messleistung zu verbessern.
  • Die gesammelten Daten werden durch das Computersystem 130 empfangen. Das Computersystem 130 führt eine modellbasierte Messanalyse unter Verwendung der beiden Sätze von Messdaten mit einem Multi-Ziel-Modell aus, um die Werte interessierender Parameter zu bestimmen. In einigen Beispielen wird das hierin beschriebene Multi-Ziel-Modell offline implementiert, beispielsweise durch ein Computersystem, das die AcuShape® Software von der KLA-Tencor Corporation Milpitas, Kalifornien, USA implementiert hat. Das sich daraus ergebende Multi-Ziel-Modell wird als ein Element einer AcuShape®-Bibliothek aufgenommen, auf die durch ein Metrologiesystem zugegriffen werden kann, das Messungen unter Verwendung des Multi-Ziel-Modells durchführt.
  • In einem weiteren Aspekt wird eine Reihe von Messungen durchgeführt, so dass jeder Satz von Messdaten von Strukturen des Metrologieziels gesammelt wird, wenn die Strukturen des Metrologieziels mit einem anderen Füllmaterial, oder mit Kombinationen verschiedener Füllmaterialien, gefüllt sind. Die gesammelten Daten werden in einer auf dem Multi-Ziel-Modell basierenden Messung kombiniert, um Parameterkorrelationen zu verringern und die Messleistung zu verbessern.
  • In einem weiteren Aspekt werden Messdaten von einem Metrologieziel, das der Adsorption unterliegt, gesammelt, wenn der Adsorptionsvorgang einen stationären Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Adsorptionsprozess hat einen stationären Zustand erreicht.
  • In noch einem weiteren Aspekt werden Messdaten von einem Metrologieziel, das der Adsorption unterliegt, gesammelt, bevor der Adsorptionsvorgang einen stationären Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Adsorptionsprozess ändert sich während der Zeit der Messung.
  • 14 veranschaulicht ein Verfahren 200 zum Durchführen von Porositätsmessungen von Strukturen, die der Gasadsorption unterliegen. Das Verfahren 200 ist geeignet für die Implementierung durch ein Metrologiesystem, wie das Metrologiesystem 100, das in 1 der vorliegenden Erfindung gezeigt ist. In einem Aspekt ist es anerkannt, dass Datenverarbeitungsblöcke des Verfahrens 200 mit einem vorprogrammierten Algorithmus durchgeführt werden können, der von einem oder mehreren Prozessoren des Computersystems 130, oder jedes anderen Universalcomputersystems ausgeführt werden kann. Es sei hier bemerkt, dass die besonderen strukturellen Aspekte des Metrologiesystems 100 keine Einschränkungen darstellen und nur als illustrativ interpretiert werden sollten.
  • In Block 201 wird durch ein Beleuchtungssystem eine erste Menge an Beleuchtungslicht auf ein oder mehrere Strukturelemente, die auf einer Probe angeordnet sind, bereitgestellt.
  • In Block 202 wird eine erste gasförmige Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, während der Beleuchtung des einen oder der mehreren Strukturelemente dem einen oder den mehreren Strukturelementen zugeführt. Ein Teil des Füllmaterials kondensiert auf dem einen oder den mehreren Strukturelementen in einer flüssigen Phase und füllt dabei zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Strukturmerkmalen des einen oder der mehreren Strukturelemente.
  • In Block 203 wird, in Reaktion auf die erste Menge an Beleuchtungslicht, eine erste Menge an gesammeltem Licht von dem einem oder den mehreren Strukturelementen detektiert.
  • In Block 204 wird, beispielsweise durch den Detektor, ein erster Satz von Messsignalen erzeugt, der für die erste Menge gesammelten Lichts kennzeichnend ist.
  • In Block 205 wird ein Wert, der eine Porosität des einen oder der mehreren Strukturelemente angibt, geschätzt, zumindest zum Teil auf Grundlage des ersten Satzes von Messsignalen und eines Messmodells.
  • Bei der in 1 dargestellten Ausführungsform werden spektroskopische Ellipsometermessungen an Metrologiezielen durchgeführt, die einer gasförmigen Strömung mit variierenden Mengen an flüssigem Füllmaterial unterliegen. Allerdings kann im Allgemeinen jede geeignete modellbasierte Metrologietechnik verwendet werden, um Messungen von Metrologiezielen, die einer gasförmigen Strömung mit variierenden Mengen an flüssigen Füllmaterial unterliegen, in Übereinstimmung mit den hierin beschriebenen Verfahren und Systemen auszuführen.
  • Geeignete modellbasierte Metrologietechniken umfassen, ohne aber darauf beschränkt zu sein, die spektroskopische Ellipsometrie und spektroskopische Reflektometrie, einschließlich Implementierungen bei einer einzigen Wellenlänge, Implementierungen bei mehreren Wellenlängen und winkelaufgelöste Implementierungen, spektroskopische Scatterometrie, Scatterometrie-Overlay, Strahlprofil-Reflektometrie und Strahlprofil-Ellipsometrie, einschließlich winkelaufgelöster und polarisationsaufgelöster Implementierungen, einzeln oder in beliebiger Kombination.
  • Im Allgemeinen können die zuvor erwähnten Messtechniken auf die Messung von Prozessparametern, Strukturparametern, Layout-Parametern, Dispersionsparametern oder einer beliebigen Kombination davon angewendet werden. Als nicht einschränkendes Beispiel können Overlay, Profilgeometrie-Parameter (z.B. kritische Dimension, Höhe, Böschungswinkel), Prozessparameter (z.B. Lithografiefokus und Lithografiedosis), Dispersionsparameter, Layout-Parameter (z. B. Pitch-Abweichung, Randplatzierungsfehler), Schichtdicke, Parameter der Zusammensetzung oder eine beliebige Kombination von Parametern unter Verwendung der oben genannten Techniken gemessen werden.
  • Als nicht-einschränkendes Beispiel können die mit Formfüllung gemessen Strukturen Linie/Abstand-Gitterstrukturen, FinFeT-Strukturen, SRAM-Bauelementstrukturen, Flashspeicher-Strukturen und DRAM-Speicherstrukturen umfassen.
  • In einem weiteren Aspekt sind die auf dem Wafer angeordneten Metrologieziele Designregel-Ziele. Mit anderen Worten, entsprechen die Metrologieziele den Designregeln des zugrunde liegenden Halbleiterherstellungsprozesses. In einigen Beispielen befinden sich die Metrologieziele vorzugsweise innerhalb der aktiven Die-Fläche. In einigen Beispielen haben die Metrologieziele Abmessungen von 15 Mikrometer auf 15 Mikrometer oder kleiner. In einigen anderen Beispielen befinden sich die Metrologieziele in den Anreißlinien (scribe lines) oder anderweitig außerhalb der aktiven Die-Fläche.
  • In einigen Beispielen werden modellbasierte Messungen mit Formfüllung durchgeführt, um einen interessierenden Parameter zu schätzen. Somit wird das dem interessierenden Parameter zugeordnete Messmodell unabhängig optimiert. Durch die individuelle Messung eines jeden interessierenden Parameters wird die Rechenbelastung reduziert und die Leistung der zugrundeliegenden Messung kann durch Auswählen verschiedener Wellenlängen, der Subsysteme für die Messung und der Messmethoden maximiert werden, die für jeden einzelnen Parameter optimiert sind. Zudem können verschiedene Löser der modellbasierten Messung für jeden interessierenden Parameter ausgewählt oder anders konfiguriert werden.
  • Allerdings können in einigen anderen Beispielen modellbasierte Messungen mit Formfüllung durchgeführt werden, um mehrere interessierende Parameter parallel abzuschätzen. Somit wird das Messmodell entwickelt, um mehrere interessierende Parameter zu bestimmen.
  • In einigen Beispielen beruhen Messungen interessierender Parameter, die an einer bestimmten Messstelle durchgeführt wurden, nur auf an dieser bestimmten Messstelle gesammelten Daten, auch wenn Daten von mehreren Messstellen auf dem Wafer gesammelt werden können. In einigen anderen Beispielen werden Messdaten, die von mehreren über den Wafer oder eine Untermenge des Wafers verteilten Stellen gesammelt wurden, für die Messanalyse verwendet. Dies kann wünschenswert sein, um Parametervariationen über den Wafer zu erfassen.
  • Bei einigen Beispielen werden die Messungen der interessierenden Parameter auf Basis gefüllter Metrologieziele mit mehreren, verschiedenen Messtechniken durchgeführt, welche Techniken für einzelne Ziele, Techniken für mehrere Ziele und Techniken der Spektren-Vorwärtskopplung umfassen. Die Genauigkeit der gemessenen Parameter kann durch eine beliebige Kombination von einer Seitwärtskopplungsanalyse, einer Vorwärtskopplungsanalyse und einer parallelen Analyse verbessert werden. Die Seitwärtskopplungsanalyse bezieht sich auf die Verwendung mehrerer Datensätze von verschiedenen Bereichen der gleichen Probe und der Übergabe gemeinsamer Parameter von dem ersten Datensatz zum zweiten Datensatz für die Analyse. Die Vorwärtskopplungsanalyse bezieht sich auf die Aufnahme von Datensätzen verschiedener Proben und der Übergabe gemeinsamer Parameter an nachfolgende Analysen, wobei eine exakte Parameter-Vorwärtskopplung mit schrittweiser Kopie verwendet wird. Die parallele Analyse bezieht sich auf die parallele oder gleichzeitige Anwendung einer nichtlinearen Fittingmethodik auf mehrere Datensätze, wobei zumindest ein gemeinsamer Parameter während des Fittens gekoppelt ist.
  • Analyse mit mehreren Maschinen und Strukturen bezieht sich auf eine Vorwärtskopplungs- eine Seitwärtskopplungs- oder eine parallele Analyse, die auf einer Regression, einer Look-Up-Tabelle (d.h. „Bibliotheks“-Treffer) oder einem anderen Fitprozess von mehreren Datensätzen basieren. Beispielhafte Verfahren und Systeme für eine Analyse mit mehreren Maschinen und Strukturen sind beschrieben in dem US-Patent 7,478,019 , erteilt am 13. Januar 2009 für KLA-Tencor Corp., das in seiner Gesamtheit durch Bezugnahme hierin aufgenommen ist.
  • In einem weiteren Aspekt können die wie hier beschrieben erhaltenen Messergebnisse verwendet werden, um eine aktive Rückkopplung zu einer Prozessmaschine (z.B. Lithographiemaschine, Ätzmaschine, Abscheidemaschine, etc.) zur Verfügung zu stellen. Beispielsweise könne Werte von kritischen Dimensionen, die mit den hierin beschrieben Verfahren und Systemen bestimmt wurden, an eine Lithographiemaschine weitergeleitet werden, um das Lithographiesystem derart einzustellen, dass ein gewünschter Output erzielt wird. In ähnlicher Weise können Ätzparameter (beispielsweise Ätzzeit, Diffusität, etc.) oder Abscheidungsparameter (wie z.B. Zeit, Konzentration, etc.) in einem Messmodell enthalten sein, um aktive Rückkopplung an Ätzmaschinen bzw. Abscheidemaschinen zu liefern. In einigen Beispielen können Korrekturen für Prozessparameter, die auf Basis gemessener Werte von Bauelementparametern bestimmt wurden, an eine Lithographiemaschine, eine Ätzmaschine oder eine Abscheidemaschine kommuniziert werden.
  • Es sollte erkannt werden, dass die verschiedenen Schritte, die in der gesamten vorliegenden Offenbarung beschrieben werden, durch ein Einzelcomputersystem 130, ein Mehrfachcomputersystem 130 oder mehrere verschiedenen Computersysteme 130 durchgeführt werden können. Des Weiteren können verschiedene Untersysteme des Systems 100, wie das spektroskopische Ellipsometer 101, mindestens ein Computersystem beinhalten, das zur Durchführung zumindest eines Teils der hierin beschriebenen Schritte geeignet ist. Daher soll die vorstehende Beschreibung nicht als Einschränkung der vorliegenden Erfindung ausgelegt werden, sondern lediglich als eine Illustration. Ferner kann Computersystem 130 dazu ausgebildet sein, einen beliebigen anderen Schritt/beliebige andere Schritte einer beliebigen der hierin beschriebenen Verfahrensausführungsformen durchzuführen.
  • Das Computersystem 130 kann, ohne aber darauf beschränkt zu sein, ein Personalcomputer-System, ein Großrechnersystem, eine Workstation, einen Bildrechner, einen Parallelprozessor oder ein anderes bekanntes Gerät umfassen. Im Allgemeinen kann der Begriff „Computersystem“ breit definiert werden, so dass er jede Vorrichtung, oder Kombination von Vorrichtungen, umfasst, mit einem oder mehreren Prozessoren, die Anweisungen von einem Speichermedium ausführen. Im Allgemeinen kann das Computersystem 130 in ein Messsystem, wie etwa Messsystem 100 integriert sein, oder kann alternativ vollständig oder teilweise von jeglichem Messsystem abgesondert sein. In diesem Sinne kann das Computersystem 130 entfernt angeordnet sein und Messdaten von jeder Messquelle empfangen und Befehlssignale zu jedem Element des Metrologiesystems 100 übertragen.
  • Programmanweisungen 134, die Verfahren wie die hierin beschriebenen umsetzen, können über ein Übertragungsmedium übertragen werden, etwa einen Draht, ein Kabel oder eine drahtlose Übertragungsverbindung. Speicher 132, der die Programmanweisungen 134 speichert, kann ein computerlesbares Medium, etwa einen Nur-Lese-Speicher, einen Speicher mit wahlfreiem Zugriff, eine magnetische oder optische Platte oder ein Magnetband beinhalten.
  • Ferner kann das Computersystem 130 in jeder bekannten Weise in Kommunikationsverbindung mit dem Spektrometer 104 oder dem Beleuchtungssubsystem 102 des Ellipsometers 101 stehen.
  • Das Computersystem 130 kann dazu ausgebildet sein, Daten oder Information von Subsystemen des Systems (z.B. Spektrometer 104, Beleuchter 102, Dampfinjektionssystem 120 und dergleichen) über ein Transmissionsmedium zu empfangen und/oder zu erfassen, das leitungsgebundene und/oder drahtlose Abschnitte beinhalten kann. Auf diese Weise kann das Transmissionsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 dazu ausgebildet sein, Messdaten über ein Speichermedium (d.h. Speicher) zu empfangen. Beispielsweise können die Spektralergebnisse, die durch Verwendung eines Spektrometers des Ellipsometers 101 erhalten wurden, in einem permanenten oder semipermanenten Speicherelement (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die Spektralergebnisse von einem externen System importiert werden. Ferner kann das Computersystem 130 Daten von externen Systemen über ein Transmissionsmedium empfangen.
  • Das Computersystem 130 kann dazu ausgebildet sein, Daten oder Information zu Subsystemen des Systems (z.B. Spektrometer 104, Beleuchter 102, Dampfinjektionssystem 120 und dergleichen) über ein Transmissionsmedium zu übertragen, das leitungsgebundene und/oder drahtlose Abschnitte beinhalten kann. Auf diese Weise kann das Transmissionsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 dazu ausgebildet sein, Befehlssignale und Messergebnisse über ein Speichermedium (d.h. Speicher) zu übertragen. Beispielsweise können die Messergebnisse 115, die durch Analyse spektraler Daten erhalten wurden, in einem permanenten oder semipermanenten Speicherelement (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die spektralen Ergebnisse an ein externes System exportiert werden. Ferner kann das Computersystem 130 Daten über ein Transmissionsmedium zu externen Systemen senden. Ferner werden die ermittelten Werte des interessierenden Parameters in einem Speicher gespeichert. Beispielsweise können die Werte im Messsystem 100 gespeichert werden, zum Beispiel in Speicher 132, oder sie können (z.B. über Ausgabesignal 115) an ein externes Speichergerät übermittelt werden.
  • Wie hierin beschrieben beinhaltet der Begriff „kapillare Kondensation“ jeglichen physikalischen Prozess zum Füllen poröser Strukturen durch Kondensation verdampften Füllmaterials, darunter Gasadsorption oder Porenkondensation. In diesem Sinne werden die Begriffe „kapillare Kondensation“, „Porenkondensation“ und „Adsorption“ für Zwecke dieses Patentdokuments austauschbar verwendet und beschreiben den gleichen physikalischen Prozess.
  • Wie hierin beschrieben beinhaltet der Begriff „kritische Dimension“ jegliche kritische Dimension einer Struktur (z.B. untere kritische Dimension, mittlere kritische Dimension, obere kritische Dimension, Böschungswinkel, Gitterhöhe, etc.), eine kritische Dimension zwischen beliebigen zwei oder mehr Strukturen (z.B. Abstand zwischen zwei Strukturen), und eine Verschiebung zwischen zwei oder mehr Strukturen (z.B. Overlay-Verschiebung zwischen sich überlagernden Gitterstrukturen, etc.). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlay-Strukturen etc. umfassen.
  • Wie hierin beschrieben beinhaltet der Begriff „Kritische-Dimensions-Anwendung“ oder „Kritische-Dimensions-Messanwendung“ jegliche Messung einer kritischen Dimension.
  • Wie hierin beschrieben beinhaltet der Begriff „Metrologie-System“ jegliches System, das zumindest teilweise dazu eingesetzt wird, eine Probe in einem beliebigen Aspekt zu charakterisieren, darunter Messanwendungen wie Kritische-Dimensions-Metrologie, Overlay-Metrologie, Fokus-/Dosis-Metrologie und Zusammensetzungsmetrologie. Jedoch beschränken solche Fachbegriffe nicht den Umfang des Begriffs „Metrologiesystem“ wie hierin beschrieben. Zusätzlich kann das Metrologiesystem 100 zur Messung von strukturierten Wafern und/oder unstrukturierten Wafern ausgebildet sein. Das Metrologiesystem kann als eine Inspektionsmaschine, etwa als eine LED-Inspektionsmaschine, eine Randinspektionsmaschine, eine Rückseiteninspektionsmaschine, eine Makroinspektionsmaschine, oder eine Multi-Modus-Inspektionsmaschine (welche gleichzeitig Daten von einer oder mehreren Plattformen involviert), und jegliche andere Metrologie- oder Inspektionsmaschine, die von der Kalibrierung von Systemparametern auf Grundlage von Daten zur kritischen Dimension profitiert, ausgebildet sein. Für Zwecke dieses Patentdokuments sind die Begriffe „Metrologie“-System und „Inspektions“-System synonym.
  • Hierin werden verschiedene Ausführungen für ein Halbleiterprozesssystem (z.B. ein Inspektionssystem oder ein Lithographiesystem) beschrieben, das dazu verwendet werden kann, eine Probe einem Prozess zu unterziehen. Der Begriff „Probe“ wird hierin verwendet, um einen Wafer, ein Retikel, oder eine beliebige andere Probe, die durch bekannte Mittel bearbeitet (z.B. gedruckt oder auf Defekte inspiziert) werden kann, zu bezeichnen.
  • Wie hierin verwendet, bezieht sich der Begriff „Wafer“ allgemein auf Substrate aus einem Halbleiter- oder einem Nicht-Halbleiter-Material. Beispiele beinhalten, ohne aber darauf beschränkt zu sein, monokristallines Silizium, Galliumarsenid und Indiumphosphid. Derartige Substrate werden in Halbleiterherstellungsanlagen gemeinhin angetroffen und/oder verarbeitet. In einigen Fällen beinhaltet ein Wafer lediglich das Substrat (d.h. blanker Wafer). Alternativ kann ein Wafer eine oder mehrere Schichten aus unterschiedlichen Materialien beinhalten, die auf einem Substrat ausgebildet sind. Eine oder mehrere auf einem Wafer ausgebildete Schichten können „strukturiert“ oder „unstrukturiert“ sein. Beispielsweise kann ein Wafer eine Vielzahl an Dies beinhalten, die wiederholbare Strukturmerkmale haben.
  • Ein „Retikel“ kann ein Retikel in jedem Stadium eines Retikelherstellungsprozesses sein, oder ein vollständiges Retikel, das zur Verwendung in einer Halbleiterherstellungseinrichtung freigegeben wird oder nicht. Ein Retikel oder eine „Maske“ ist allgemein definiert als ein im Wesentlichen transparentes Substrat mit darauf ausgebildeten im Wesentlichen opaken Bereichen, die in einem Muster angeordnet sind. Das Substrat kann zum Beispiel ein Glasmaterial, etwa amorphes SiO2, beinhalten. Ein Retikel kann während eines Belichtungsschritts eines Lithographieprozesses über einem mit Photolack bedeckten Wafer angeordnet werden, so dass das Muster auf dem Retikel auf den Photolack übertragen werden kann.
  • Eine oder mehrere der auf einem Wafer ausgebildeten Schichten können strukturiert oder unstrukturiert sein. Beispielsweise kann ein Wafer eine Vielzahl an Dies beinhalten, von denen jeder wiederholbare Strukturmerkmale aufweist. Die Ausbildung und Verarbeitung solcher Materialschichten kann letztlich zu vollständigen Bauelementen führen. Viele unterschiedliche Arten von Bauelementen können auf einem Wafer ausgebildet werden, und der Begriff Wafer, wie er hierin verwendet wird, soll einen Wafer umfassen, auf dem eine beliebige bekannte Art eines Bauelements hergestellt wird.
  • In einer oder mehreren beispielhaften Ausführungsformen können die hierin beschriebenen Funktionen in Hardware, Software, Firmware oder jeder Kombination daraus umgesetzt werden. Erfolgt die Umsetzung in Software, so können die Funktionen als eine oder mehrere Instruktionen oder Code auf einem computerlesbaren Medium gespeichert oder über ein computerlesbares Medium übertragen werden. Computerlesbare Medien beinhalten sowohl Computerspeichermedien als auch Kommunikationsmedien, darunter jegliches Medium, das die Übertragung eines Computerprogramms von einem Ort zu einem anderen ermöglicht. Ein Speichermedium kann jegliches verfügbare Medium sein, auf das von einem Universalrechner oder Spezialrechner zugegriffen werden kann. Als nichteinschränkende Beispiele können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder einen anderen optischen Plattenspeicher, einen magnetischen Plattenspeicher oder andere magnetische Speichergeräte, oder jegliches andere Medium, das verwendet werden kann, gewünschte Programmcodemittel in Form von Instruktionen oder Datenstrukturen zu tragen oder zu speichern, und auf das von einem Universalrechner oder Spezialrechner, oder von einem Universalprozessor oder Spezialprozessor zugegriffen werden kann, umfassen. Ebenso wird jede Verbindung korrekt als computerlesbares Medium bezeichnet. Falls die Software beispielsweise von einer Website, einem Server oder einer anderen entfernten Quelle übertragen wird, unter Verwendung eines Koaxialkabels, eines Glasfaserkabels, verdrillter Adernpaare, von Digital Subscriber Line (DSL), oder von drahtlosen Technologien wie Infrarot, Funk, und Mikrowelle, dann sind das Koaxialkabel, das Glasfaserkabel, die verdrillten Adernpaare, DSL oder die drahtlosen Technologien wie Infrarot, Funk und Mikrowelle in die Definition von Medium eingeschlossen. Disk und Disc, wie hierin verwendet, beinhalten Compact Disc (CD), Laserdisc, optische Disc, Digital Versatile Disc (DVD), Floppy Disk und Blu-Ray-Disc, wobei „Disks“ Daten gemeinhin magnetisch wiedergeben, während „Discs“ Daten optisch mit Lasern wiedergeben. Kombinationen des Obigen sollen ebenfalls in die Bedeutung von computerlesbaren Medien eingeschlossen werden.
  • Obwohl vorstehend bestimmte Ausführungsformen zum Zwecke der Unterrichtung beschrieben werden, ist die Lehre dieses Patentdokuments allgemein anwendbar und ist nicht auf die spezifischen oben beschriebenen Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen verschiedener Merkmale der beschriebenen Ausführungsformen vorgenommen werden, ohne vom in den Ansprüchen festgelegten Gegenstand der Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/330751 [0001]
    • US 62/441887 [0001]
    • US 15/204938 [0001]
    • US 7907264 [0008]
    • US 7755764 [0037]
    • US 7478019 [0098]
  • Zitierte Nicht-Patentliteratur
    • A. Bourgeois et al. in „Description of the porosity of inhomogeneous porous low-k films using solvent adsorption studied by spectroscopic ellipsometry in the visible ränge“, Thin Solid Films 455-456, Seiten 366-369 (2004) [0007]
    • C. Negoro et al., „Nondestructive Characterization of a Series of Periodic Porous Silica Films by in situ Spectroscopic Ellipsometry in a Vapor Cell“, Jap. J. of Appl. Phys. Vol. 43, No. 4, Seiten 1327-1329 (2004) [0007]
    • F.N. Dultsev, „Investigation of the microporous structure of porous layers using ellipsometric adsorption porometry“, Thin Solid Films 458, Seiten 137-142 (2004) [0007]
    • Hidong Kwak et al., erteilt am 13. Juli 2010 [0037]

Claims (22)

  1. Messsystem umfassend: eine Beleuchtungsquelle, dazu ausgebildet, eine erste Menge an Beleuchtungslicht für ein oder mehrere auf einer Probe angeordnete Metrologieziele bereitzustellen; ein Dampfinjektionssystem, das konfiguriert ist, eine erste gasförmige Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, zu dem einen oder den mehreren Metrologiezielen während der Beleuchtung des einen oder der mehreren Metrologieziele bereitzustellen, wobei ein Teil des Füllmaterials auf das eine oder die mehreren Metrologieziele in einer flüssigen Phase kondensiert, und wobei der Teil des Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Strukturmerkmalen des einen oder der mehreren Metrologieziele füllt; einen Detektor, der konfiguriert ist, eine erste Menge gesammelten Lichts von dem einen oder den mehreren Metrologiezielen in Antwort auf die erste Menge an Beleuchtungslicht zu empfangen und einen ersten Satz von Messsignalen zu erzeugen, der für die erste Menge gesammelten Lichts bezeichnend ist; und ein Computersystem, ausgebildet zum: Schätzen eines Wertes, der für eine Porosität des einen oder der mehreren Metrologieziele bezeichnend ist, zumindest zum Teil auf Grundlage des ersten Satzes an Messsignalen und eines Messmodells.
  2. Messsystem nach Anspruch 1, wobei das Computersystem ferner ausgebildet ist zum: Schätzen eines Wertes einer kritischen Dimension des einen oder der mehreren Metrologieziele, zumindest zum Teil auf Grundlage des ersten Satzes an Messsignalen und des Messmodells.
  3. Messsystem nach Anspruch 1, wobei die Beleuchtungsquelle ferner derart konfiguriert ist, dass sie eine zweite Menge an Beleuchtungslicht für das eine oder die mehreren auf der Probe angeordneten Metrologieziele bereitstellt, wobei das Dampfinjektionssystem ferner derart konfiguriert ist, dass es während der Beleuchtung des einen oder der mehreren Metrologieziele mit der zweiten Menge an Beleuchtungslicht eine zweite gasförmige Strömung zu dem einen oder den mehreren Metrologiezielen bereitstellt, wobei der Detektor ferner derart konfiguriert ist, dass er eine zweite Menge gesammelten Lichts von dem einen oder den mehreren Metrologiezielen in Antwort auf die zweite Menge an Beleuchtungslicht empfängt und einen zweiten Satz von Messsignalen erzeugt, der für die zweite Menge gesammelten Lichts bezeichnend ist, und wobei das Computersystem ferner ausgebildet ist zum Schätzen eines Wertes, der für die Porosität des einen oder der mehreren Metrologieziele bezeichnend ist, und eines Werts einer kritischen Dimension des einen oder der mehreren Metrologieziele, zumindest zum Teil auf Grundlage des ersten und zweiten Satzes an Messsignalen und eines Messmodells für mehrere Ziele.
  4. Messsystem nach Anspruch 3, wobei die erste gasförmige Strömung das erste Füllmaterial bei einem ersten Partialdruck enthält, und wobei die zweite gasförmige Strömung das erste Füllmaterial bei einem zweiten Partialdruck enthält.
  5. Messsystem nach Anspruch 4, wobei der zweite Partialdruck des ersten Füllmaterials ungefähr Null ist.
  6. Messsystem nach Anspruch 3, wobei die erste gasförmige Strömung das erste Füllmaterial beinhaltet und die zweite gasförmige Strömung ein zweites Füllmaterial beinhaltet.
  7. Messsystem nach Anspruch 1, wobei die Probentemperatur ungefähr die gleiche Temperatur ist wie eine Temperatur des in der ersten gasförmigen Strömung verdampften ersten Füllmaterials.
  8. Messsystem nach Anspruch 1, wobei das Dampfinjektionssystem einen ersten Strom von ungesättigtem Spülgas mit einem zweiten Strom von mit dem ersten Füllmaterial in einer Dampfphase gesättigtem Spülgas mischt, um die erste gasförmige Strömung bereitzustellen.
  9. Messsystem nach Anspruch 8, wobei das Dampfinjektionssystem einen Partialdruck des Füllmaterials in der ersten gasförmigen Strömung durch Ändern eines Verhältnisses des Stroms des ungesättigten Spülgases und des Stroms des mit dem ersten Füllmaterial in einer Dampfphase gesättigten Spülgases einstellt.
  10. Messsystem nach Anspruch 8, wobei das Dampfinjektionssystem umfasst: ein Blasdüsenrohr, das das erste Füllmaterial in einer flüssigen Phase umfasst, wobei ein Teil des flüssigen Füllmaterials in den zweiten Strom des Spülgases verdampft, um den zweiten Strom des Spülgases mit dem ersten Füllmaterial in einer Dampfphase zu sättigen.
  11. Messsystem nach Anspruch 1, wobei das Füllmaterial eines von Wasser, Ethanol, Toluol, Isopropylalkohol, Methanol und Benzol ist.
  12. Messsystem nach Anspruch 1, wobei das erste Füllmaterial eine Fluoreszenz in Reaktion auf die erste Menge des Beleuchtungslichtes aufweist.
  13. Messsystem nach Anspruch 2, wobei das Abschätzen des Wertes der Porosität und der kritischen Dimension eine beliebige von einer modellbasierten Regression, einer modellbasierten Bibliothekssuche, einer modellbasierten Bibliothek-Regression, einer bildbasierten Analyse, und einem Metrologie-Modell auf Basis der Signalantwort beinhaltet.
  14. Messsystem nach Anspruch 1, wobei das Messsystem als irgendeines von einem spektroskopischen Ellipsometer, einem spektroskopischen Reflektometer, einem winkelaufgelösten Reflektometer, einem Inspektionssystem für Dunkelfeld, einem Inspektionssystem für Hellfeld und einem abbildenden Overlay-Messsystem ausgebildet ist.
  15. Messsystem, umfassend: eine Beleuchtungsquelle, die konfiguriert ist, eine Menge an Beleuchtungslicht für ein oder mehrere Strukturelemente, die auf einer Probe angeordnet sind, bereitzustellen; ein Dampfinjektionssystem, umfassend: einen ersten Massendurchflussregler, der einen Durchfluss einer ersten Strömung eines Spülgases regelt; einen zweiten Massendurchflussregler, der einen Durchfluss einer zweiten Strömung des Spülgases regelt; und ein Blasdüsenrohr, das ein erstes Füllmaterial in einer flüssigen Phase umfasst, wobei die zweite Strömung des Spülgases durch das Blasdüsenrohr führt und ein Teil des flüssigen Füllmaterials in die zweite Strömung des Spülgases verdampft, um die zweite Strömung des Spülgases mit dem ersten Füllmaterial in einer Dampfphase zu sättigen, wobei die erste Strömung des Spülgases und die zweite, mit dem ersten Füllmaterial gesättigte, Strömung des Spülgases kombiniert werden, um eine gasförmige Strömung zu bilden, die während der Beleuchtung des einen oder der mehreren Strukturelemente auf das eine oder die mehreren Strukturelemente, die auf der Probe angeordnet sind, gerichtet wird; einen Detektor, der eine erste Menge an gesammeltem Licht von dem einen oder den mehreren Strukturelementen in Antwort auf die erste Menge an Beleuchtungslicht empfängt und einen ersten Satz von Messsignalen erzeugt, die der ersten Menge des gesammelten Lichts entsprechen; und ein Computersystem, ausgebildet zum: Schätzen eines Wertes, der für eine Porosität des einen oder der mehreren Metrologieziele bezeichnend ist, zumindest zum Teil auf Grundlage des ersten Satzes an Messsignalen.
  16. Messsystem nach Anspruch 15, wobei das Computersystem ferner ausgebildet ist zum: Senden eines ersten Befehlssignals an den ersten Massendurchflussregler, das den ersten Massendurchflussregler veranlasst, die Flussrate des ersten Stroms des Spülgases anzupassen; und Senden eines zweiten Befehlssignals an den zweiten Massendurchflussregler, das den zweiten Massendurchflussregler veranlasst, die Flussrate des zweiten Stroms des Spülgases anzupassen, so dass ein Verhältnis der Flussrate des ersten Stroms des Spülgases und der Flussrate des zweiten Stroms des Spülgases einen gewünschten Partialdruck des ersten Füllmaterials in der gasförmigen Strömung erzielt.
  17. Messsystem nach Anspruch 15, wobei das eine oder die mehreren Strukturelemente eine poröse planare Schicht beinhalten.
  18. Verfahren umfassend: Bereitstellen einer ersten Menge an Beleuchtungslicht für ein oder mehrere Strukturelemente, die auf einer Probe angeordnet sind; Bereitstellen einer ersten gasförmigen Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, zu dem einen oder den mehreren Strukturelementen während der Beleuchtung des einen oder der mehreren Strukturelemente, wobei ein Teil des ersten Füllmaterials auf dem einen oder den mehreren Strukturelementen in einer flüssigen Phase kondensiert, und wobei der Teil des Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen strukturellen Merkmalen des einen oder der mehreren Strukturelemente füllt; Detektieren, in Antwort auf die erste Menge an Beleuchtungslicht, einer ersten Menge an gesammeltem Licht von dem einem oder den mehreren Strukturelementen; Erzeugen eines ersten Satzes von Messsignalen, der für die erste Menge an gesammeltem Licht bezeichnend ist; und Schätzen eines Werts, der für eine Porosität des einen oder der mehreren Strukturelemente bezeichnend ist, zumindest zum Teil auf Grundlage des ersten Satzes an Messsignalen und eines Messmodells.
  19. Verfahren nach Anspruch 18, ferner umfassend: Schätzen eines Wertes einer kritischen Dimension des einen oder der mehreren Strukturelemente, zumindest zum Teil auf Grundlage des ersten Satzes an Messsignalen und des Messmodells.
  20. Verfahren nach Anspruch 18, ferner umfassend: Bereitstellen einer zweiten Menge an Beleuchtungslicht an das eine oder die mehreren Strukturelemente, die auf einer Probe angeordnet sind; Bereitstellen einer zweiten gasförmigen Strömung an das eine oder die mehreren Strukturelemente während der Beleuchtung des einen oder der mehreren Strukturelemente mit der zweiten Menge an Beleuchtungslicht; Detektieren einer zweiten Menge gesammelten Lichts von dem einen oder den mehreren Strukturelementen in Antwort auf die zweite Menge an Beleuchtungslicht; Erzeugen eines zweiten Satz von Messsignalen, der für die zweite Menge gesammelten Lichts bezeichnend ist; und Schätzen eines Wertes, der für die Porosität des einen oder der mehreren Strukturelemente bezeichnend ist, und eines Werts einer kritischen Dimension des einen oder der mehreren Strukturelemente, zumindest zum Teil auf Grundlage des ersten und zweiten Satzes an Messsignalen und eines Messmodells für mehrere Ziele.
  21. Verfahren nach Anspruch 18, wobei das Bereitstellen der ersten gasförmigen Strömung das Mischen eines ersten Stroms von ungesättigtem Spülgas mit einem zweiten Strom von Spülgas, das mit dem ersten Füllmaterial in einer Dampfphase gesättigt ist, involviert.
  22. Verfahren nach Anspruch 18, wobei das eine oder die mehreren Strukturelemente eine poröse planare Schicht beinhalten.
DE112017002295.9T 2016-05-02 2017-04-29 Porositätsmessung von Halbleiterstrukturen Withdrawn DE112017002295T5 (de)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
US15/204,938 2016-07-07
US201762441887P 2017-01-03 2017-01-03
US62/441,887 2017-01-03
US15/582,331 US10041873B2 (en) 2016-05-02 2017-04-28 Porosity measurement of semiconductor structures
US15/582,331 2017-04-28
PCT/US2017/030309 WO2017192411A1 (en) 2016-05-02 2017-04-29 Porosity measurement of semiconductor structures

Publications (1)

Publication Number Publication Date
DE112017002295T5 true DE112017002295T5 (de) 2019-02-14

Family

ID=60157870

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017002295.9T Withdrawn DE112017002295T5 (de) 2016-05-02 2017-04-29 Porositätsmessung von Halbleiterstrukturen

Country Status (7)

Country Link
US (1) US10041873B2 (de)
JP (1) JP6876721B2 (de)
KR (1) KR102254065B1 (de)
CN (1) CN109155265B (de)
DE (1) DE112017002295T5 (de)
TW (1) TWI718294B (de)
WO (2) WO2017192408A1 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9976902B1 (en) * 2016-05-25 2018-05-22 J.A. Woolam Co., Inc. Method to analyze spectroscopic ellipsometry data of porous samples utilizing the anisotropic Bruggeman-effective medium theory
US10175160B1 (en) * 2016-05-25 2019-01-08 J.A. Woollam Co., Inc. Method to analyze spectroscopic ellipsometry or intensity data of porous samples utilizing the anisotropic bruggeman-effective medium theory
JP7438105B2 (ja) * 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10490650B2 (en) * 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
US11231362B1 (en) 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111207677B (zh) * 2020-01-13 2021-05-07 中国人民解放军国防科技大学 一种介质薄膜厚度及折射率的测量方法
CN111207678B (zh) * 2020-01-13 2021-06-15 中国人民解放军国防科技大学 一种非旋转式薄膜厚度及折射率测量方法
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
WO2023287455A1 (en) 2021-07-16 2023-01-19 Microchip Technology Incorporated Techniques for controlling vapor pressure of subject materials in vapor cells and related methods
WO2023086119A1 (en) 2021-11-11 2023-05-19 Microchip Technology Incorporated Vapor cells and related systems and methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568565A (en) 1984-05-14 1986-02-04 Allied Corporation Light induced chemical vapor deposition of conductive titanium silicide films
US5399379A (en) 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
AU5855799A (en) 1998-08-28 2000-03-21 Centre For Advanced Technologies Technokom Apparatus and method for determining porosity
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US20030000921A1 (en) 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6684172B1 (en) 2001-12-27 2004-01-27 Advanced Micro Devices, Inc. Sensor to predict void free films using various grating structures and characterize fill performance
AU2002364719A1 (en) 2001-12-31 2003-07-24 Tokyo Electron Limited Method of fault detection for material process system
US6986280B2 (en) 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP3693972B2 (ja) 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
US7102132B2 (en) 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US7138640B1 (en) 2002-10-17 2006-11-21 Kla-Tencor Technologies, Corporation Method and apparatus for protecting surfaces of optical components
JP2004253424A (ja) * 2003-02-18 2004-09-09 Sony Corp 多孔質半導体層製造方法及び多孔質半導体層製造装置
US20090081810A1 (en) 2004-10-06 2009-03-26 Ebara Corporation Substrate processing apparatus and substrate processing method
JP4528279B2 (ja) * 2005-05-12 2010-08-18 アイメック 多孔性材料の親水性の定量化のための方法
FR2886015B1 (fr) 2005-05-18 2007-07-13 Commissariat Energie Atomique Procede de mesure de la porosite par ellipsometrie et dispositif mettant en oeuvre un tel procede
US7372559B2 (en) * 2005-12-14 2008-05-13 Kla-Tencor Technologies Corp. Systems and methods for inspecting a wafer with increased sensitivity
JP4599342B2 (ja) 2005-12-27 2010-12-15 エーエスエムエル ネザーランズ ビー.ブイ. 光学装置、リソグラフィ装置、および、デバイス製造方法
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7617715B2 (en) 2006-12-21 2009-11-17 The Boeing Company Reference standard for ultrasonic measurement of porosity and related method
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7627392B2 (en) 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
JP2010016279A (ja) 2008-07-07 2010-01-21 Dainippon Screen Mfg Co Ltd 基板測定装置
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20100235114A1 (en) 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
FR2948192B1 (fr) 2009-07-20 2011-07-22 Commissariat Energie Atomique Procede de caracterisation optique
KR101306986B1 (ko) 2010-03-09 2013-09-26 한국전자통신연구원 박막 형성 장치
US8575576B2 (en) * 2011-02-14 2013-11-05 Kla-Tencor Corporation Optical imaging system with laser droplet plasma illuminator
US8378292B1 (en) 2011-07-28 2013-02-19 Sondex Wireline Limited Neutron porosity measurement devices with semiconductor neutron detection cells and methods
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
JP2015526693A (ja) * 2012-05-09 2015-09-10 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド Pecvd皮膜の検査方法
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
JP6260125B2 (ja) * 2013-07-08 2018-01-17 富士通株式会社 分析装置、分析方法、成膜装置及び成膜方法
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9245722B2 (en) * 2013-09-16 2016-01-26 Georgia Tech Research Corporation SMS probe and SEM imaging system and methods of use
US9466464B1 (en) 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
A. Bourgeois et al. in „Description of the porosity of inhomogeneous porous low-k films using solvent adsorption studied by spectroscopic ellipsometry in the visible ränge", Thin Solid Films 455-456, Seiten 366-369 (2004)
C. Negoro et al., „Nondestructive Characterization of a Series of Periodic Porous Silica Films by in situ Spectroscopic Ellipsometry in a Vapor Cell", Jap. J. of Appl. Phys. Vol. 43, No. 4, Seiten 1327-1329 (2004)
F.N. Dultsev, „Investigation of the microporous structure of porous layers using ellipsometric adsorption porometry", Thin Solid Films 458, Seiten 137-142 (2004)
Hidong Kwak et al., erteilt am 13. Juli 2010

Also Published As

Publication number Publication date
TWI718294B (zh) 2021-02-11
TW201802980A (zh) 2018-01-16
KR20180132945A (ko) 2018-12-12
US20170315044A1 (en) 2017-11-02
CN109155265B (zh) 2020-03-27
CN109155265A (zh) 2019-01-04
WO2017192411A1 (en) 2017-11-09
JP2019522352A (ja) 2019-08-08
JP6876721B2 (ja) 2021-05-26
WO2017192408A1 (en) 2017-11-09
US10041873B2 (en) 2018-08-07
KR102254065B1 (ko) 2021-05-18

Similar Documents

Publication Publication Date Title
DE112017002295T5 (de) Porositätsmessung von Halbleiterstrukturen
DE112017002291T5 (de) Messung der kritischen dimension mittels gasförmiger adsorption
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
TWI703653B (zh) 基於模型之單一參數量測
KR102317060B1 (ko) 패턴화된 웨이퍼 특성화를 위한 방법 및 장치
DE102014101482B4 (de) Verfahren und System zur Dunkelfeldinspektion
DE112017001846T5 (de) Halbleitermetrologie mit Information von mehreren Prozessschritten
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
DE112016001982T5 (de) Recheneffiziente auf röntgenstrahlgestützte messung des overlays
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
DE102005056916B4 (de) Verfahren zum Gestalten einer Überlagerungs-Markierung
DE112017005271T5 (de) Ganzstrahl-metrologie für röntgen-scatterometrie-systeme
DE112017000384T5 (de) Systeme und Verfahren für erweiterte infrarotspektroskopische Ellipsometrie
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
KR20200032249A (ko) 타겟 분해를 사용한 온 디바이스 계측
DE112013005358T5 (de) Vorrichtung und Verfahren zur optischen Metrologie mit optimierten Systemparametern
JP7412559B2 (ja) 確率的ドメイン知識に基づく計測レシピ最適化及び物理的実現
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE10224162A1 (de) Streuungsmesser mit einem internen Kalibriersystem
DE112017002298T5 (de) Messung von Halbleiterstrukturen mit kapillarer Kondensation
EP4065957A1 (de) Vorrichtung und verfahren zur vermessung eines substrats
DE102006034776B3 (de) Verfahren und Messvorrichtung zur ellipsometrischen Vermessung von Strukturelementen sowie Verwendung des Verfahrens

Legal Events

Date Code Title Description
R005 Application deemed withdrawn due to failure to request examination