KR102254065B1 - 반도체 구조들의 공극률 측정 - Google Patents

반도체 구조들의 공극률 측정 Download PDF

Info

Publication number
KR102254065B1
KR102254065B1 KR1020187034542A KR20187034542A KR102254065B1 KR 102254065 B1 KR102254065 B1 KR 102254065B1 KR 1020187034542 A KR1020187034542 A KR 1020187034542A KR 20187034542 A KR20187034542 A KR 20187034542A KR 102254065 B1 KR102254065 B1 KR 102254065B1
Authority
KR
South Korea
Prior art keywords
measurement
filler
flow
purge gas
amount
Prior art date
Application number
KR1020187034542A
Other languages
English (en)
Other versions
KR20180132945A (ko
Inventor
샨카 크리쉬난
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/204,938 external-priority patent/US10281263B2/en
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180132945A publication Critical patent/KR20180132945A/ko
Application granted granted Critical
Publication of KR102254065B1 publication Critical patent/KR102254065B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/088Investigating volume, surface area, size or distribution of pores; Porosimetry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/08Measuring arrangements characterised by the use of optical techniques for measuring diameters
    • G01B11/12Measuring arrangements characterised by the use of optical techniques for measuring diameters internal diameters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/0806Details, e.g. sample holders, mounting samples for testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8427Coatings
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/91Investigating the presence of flaws or contamination using penetration of dyes, e.g. fluorescent ink

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Dispersion Chemistry (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

모세관 응축 프로세스에 의해 충전재로 충전되는 기하학적 구조들의 공극률의 광학 측정을 수행하기 위한 방법 및 시스템들이 개시된다. 제어된 양의 기화된 충전재를 포함하는 퍼지 가스의 흐름을 이용하여 측정 중인 구조들이 처리되는 동안에 측정이 수행된다. 충전재의 일부분이 응축되고 평면 막의 공극들과 같은 구조적 피처들의 오프닝들, 구조적 피처 사이의 공간들, 및 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들을 충전한다. 일 양상에서, 가스 흐름의 기화된 재료의 원하는 포화도는 충전될 최대 피처 크기에 기초하여 결정된다. 또 다른 양상에서, 구조가 충전되지 않았을 때와 구조가 충전되었을 때 측정 데이터가 수집된다. 수집된 데이터는 멀티 타겟 모델 기반 측정에서 결합되어 공극률 및 임계 치수들의 값들을 추정한다.

Description

반도체 구조들의 공극률 측정
관련 출원의 교차 참조
본 특허출원은, 2016년 5월 2일에 출원된 발명의 명칭이 "모세관 응축을 이용한 다공성 및 임계 치수 측정"인 미국 가특허출원 제62/330,751호, 2017년 1월 3일에 출원된 발명의 명칭이 "유체 충전을 이용한 임계 치수 측정"인 미국 가특허출원 제62/441,887호, 및 2016년 7월 7일에 출원된 발명의 명칭이 "모세관 응축을 이용한 임계 치수 측정"인 미국 특허출원 제15,204,938호에 대하여 35 U.S.C.§119 하의 우선권을 주장하며, 이들 각 출원의 대상은 그 전부가 참조에 의해 이 문서에 병합된다.
기술 분야
서술되는 실시예들은 계측 시스템 및 방법에 관한 것이며, 보다 상세하게는 반도체 산업에서 제조되는 구조들의 개선된 측정을 위한 방법 및 장치에 관한 것이다.
논리 및 메모리 소자들과 같은 반도체 소자들은 시료(specimen)에 적용되는 프로세싱 단계들의 순서에 의해 일반적으로 제조된다. 반도체 소자들의 여러 피처들 및 다수의 구조 레벨들은 이러한 프로세싱 단계들에 의해 형성된다. 예컨대, 특히 리소그래피가 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들에 관한 추가적인 예시들은, 그에 제한되지는 않으나, 화학적 기계적 연마, 식각, 증착, 및 이온 주입을 포함한다. 다수의 반도체 소자들이 단일 반도체 웨이퍼 상에서 제조되어 개별 반도체 소자들로 분리될 수 있다.
광학 계측 프로세스들은 더 높은 수율을 조성하도록 측정을 수행하고 웨이퍼들 상의 결함들을 검출하기 위해 반도체 제조 프로세스 동안에 여러 단계들에서 이용된다. 광학 계측 기술들은 샘플 파손의 위험이 없는 높은 처리량에 대한 가능성을 제공한다. 산란 측정법(scatterometry), 편광 해석법(ellipsometry), 및 반사 측정법(reflectometry) 구현예들과 관련된 분석 알고리즘들을 포함하는 다수의 계측 기반 기술들은, 나노 구조들의 임계 치수, 막 두께, 조성, 오버레이(overlay) 및 다른 파라미터들의 특성을 나타내는 데에 보통 이용된다.
반도체 디바이스의 제조에 이용되는 막(film)들의 공극률은 디바이스 성능에 상당한 영향을 미친다. 다공성 막은 반도체 제조 프로세스에서 유전막으로 이용된다. 몇몇 예시들에서, 막의 유전 상수는 공극률을 증가시킴으로써 감소된다. 그 결과 스위칭 지연이 감소되고 디바이스 성능이 향상된다. 공극률을 빠르게 그리고 비파괴적(non-destructive) 방식으로 측정할 필요가 있다. 특히, 총 공극률, 공극 크기, 공극 크기 분배, 및 공극 실링은 프로세스 모니터링 및 툴 모니터링 애플리케이션들에 대하여 관심 대상 파라미터들이다.
현재, 공극률 측정은 가장 흔하게 X선 반사도 및 양전자 소멸 분광 분석(PALS; positron annihilation spectroscopy) 기술들을 이용하여 수행된다. 유감스럽게도, 이러한 기술들은 생산 환경에 적합하지 않다.
분광 편광 해석법(SE; spectroscopic ellipsometry)에 기초하여 공극률을 측정하는 기술로서 편광 해석 다공성 측정법이 분석되었다. 이 기술은 흡착/탈착 등온선을 액체 충전 공극들 및 액체가 없는(liquid-free) 공극들의 굴절률 측정과 결합시킨다. 유감스럽게도, 이러한 측정은 일반적으로 고진공 환경에서 수행되며, 이는 실험실 참조 기술로서는 적합하지만 고용량 제조에 있어서는 바람직하지 않다. 예시적인 기술들이 A. Bourgeois 외에 의한 "가시거리 내 분광 편광 해석에 의해 분석되는 용매 흡착을 이용한 불균일 다공성 로우-k 막의 공극률에 관한 해설" (Thin Solid Films 455-456, pp.366-369 (2004)), C. Negoro 외에 의한 "증기 셀의 원위치 분광 편광 해석법에 의한 일련의 주기적 다공성 실리카 막의 비파괴적 특성화" (Appl. Phys.의 Jap. J. Vol.43 No.4, pp.1327-1329 (2004)), 및 F.N. Dultsev의 "편광 해석 흡착 다공성 측정을 이용한 다공성막의 미소다공성 구조의 분석" (Thin Solid Films 458, pp.137-142 (2004))에 서술되어 있으며, 이들 각각의 내용은 그 전부가 참조에 의해 이 문서에 병합된다.
KLA-Tencor Corporation에 양도되었으며 그 전부가 참조에 의해 이 문서에 병합된 미국 등록 특허 제7,907,264호는 각각 다른 상대 습도 조건들에서 굴절률 측정에 기초하여 박막의 공극률을 추정하는 방법들을 서술한다. 공극률 추정치는 굴절률과 막 공극률 간의 상관 관계에 기초한다. 그러나, 그 상관 관계는, X선 반사계 또는 PALS 시스템과 같은 신뢰성 있는 측정 툴을 이용하여 대표 샘플의 공극률 측정을 수행함으로써 오프라인으로 확립된다.
미래의 계측 애플리케이션들은 점점 더 작아지는 레졸루션(resolution) 요건들, 멀티파라미터 상관 관계, 점점 더 복잡해지는 기하학적 구조들, 및 불투명 재료들의 사용 증가로 인한 과제들을 제시한다. 따라서, 개선된 측정을 위한 방법 및 시스템이 필요하다.
모세관 또는 공극(pore) 응축에 의해 응축액으로 충전된 기하학적 구조들의 광학적 측정을 수행하는 방법 및 시스템이 이 문서에 제시된다. 측정은 측정 중인 계측 타겟 주변의 국부적 환경이 제어된 양의 충전재를 포함하는 퍼지(purge) 가스의 흐름을 이용하여 처리되는 동안에 수행된다. 충전재(즉, 응축액)의 일부분은 측정 중인 구조들 상으로 응축되고, 평면 막의 공극들과 같은 구조 피처들의 오프닝, 구조 피처들 사이의 스페이싱, 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들을 충전한다.
일 양상에서, 측정 중인 구조들로 공급되는 기체 흐름에서 기화된 물질의 원하는 포화도는 가스 흡착에 의해 충전될 최대 피처 크기에 기초하여 결정된다.
또 다른 양상에서, 응축액으로 충전된 기하학적 피처들을 가진 계측 타겟으로부터 수집된 측정 신호를 포함하는 데이터 세트를 이용하여 모델 기반 공극률 측정이 수행된다. 응축액의 존재는 퍼지 가스에 어떠한 충전재도 없는 경우의 측정 시나리오와 비교하여 측정 중인 구조의 광학 특성들을 변화시킨다.
몇몇 예시들에서, 계측 타겟에 관한 다수의 측정들이 각각 다른 흡착 상태들에 대하여 수행된다. 각각의 측정은 측정 중인 구조들 상으로 응축된 각각 다른 양의 응축액에 대응한다. 각각 다른 양의 응축액으로 충전된 기하학적 피처들을 가진 계측 타겟과 관련된 측정 신호 정보를 수집함으로써, 플로팅 측정 파라미터들 사이의 파라미터 상관 관계가 축소되고 측정 정확도가 향상된다.
몇몇 실시예들에서, 측정 중인 구조들에 공급되는 기체 흐름에서 기화된 충전재의 양은 기체 흐름에서 충전재의 부분 압력을 제어함으로써 조절된다. 몇몇 실시예들에서, 불포화 퍼지 가스의 흐름은 포화 퍼지 가스의 흐름과 혼합된다. 이들 흐름들의 비율은 혼합된 흐름의 충전재의 부분 압력을 조절하도록 조정된다.
몇몇 실시예들에서, 퍼지 가스는 충전재의 액체 수조를 통과하여 버블(bubble)되어 충전재로 완전히 포화된 퍼지 가스의 흐름을 생성한다. 퍼지 가스 흐름에서 기화된 충전재의 부분 압력은 충전재의 액체 수조에 대한 충전재의 평형 압력과 동일하다.
몇몇 실시예들에서, 충전재의 액체 수조는 측정 중인 시료와 동일한 온도로 유지된다. 몇몇 다른 실시예들에서, 충전재의 액체 수조는 측정 중인 시료보다 더 낮은 온도로 유지된다.
몇몇 실시예들에서, 웨이퍼에서 기화된 충전재의 포화도는 충전재의 평형 증기 압력을 억제하는 충전재의 액체 수조에 비휘발성 용질을 추가함으로써 제어된다. 이들 실시예들에서, 기화된 충전재의 포화도는 용액의 용질의 농도를 제어함으로써 조절된다.
몇몇 실시예들에서, 충전재는, 특히 이미지 기반의 측정 애플리케이션들에서, 측정 콘트라스트(contrast)를 강화시키기 위해 측정 중인 구조들에 공급되는 조명 광에 응답하여 형광 발광을 나타낸다.
상술한 내용은 요약이며, 그에 따라, 필요에 의해, 세부 사항들을 단순화하고, 일반화하며, 생략하고; 결과적으로, 당해 기술 분야에서 통상의 지식을 가진 자는 요약이 단지 예시적일 뿐이라는 점과 어떠한 방식으로도 제한하는 것이 아니라는 점을 이해할 것이다. 이 문서에 서술된 소자 및/또는 프로세스들의 다른 양상들, 발명적 특징들, 및 이점들은 이 문서에 제시된 비제한적인(non-limiting) 상세한 설명에서 명확해질 것이다.
도 1은 가스 흡착의 영향을 받는 반도체 웨이퍼의 구조들의 공극률을 측정하는 시스템(100)을 나타내는 도이다.
도 2는 일 실시예에서 시스템(100)의 증기 주입 시스템(120)을 나타내는 도이다.
도 3은 또 다른 실시예에서 시스템(100)의 증기 주입 시스템(120)을 나타내는 도이다.
도 4는 물, 톨루엔 및 에탄올의 증발 엔탈피, ΔH를 포함하는 표(127)를 도시한다. 또한, 표(127)는 웨이퍼에서 0.9의 충전재의 상대적 포화를 달성하기 위한 웨이퍼의 온도와 액체 충전재 수조의 온도 간의 차이를 나타낸다.
도 5는 수조의 염산 농도에 관한 함수로서의 물의 부분 압력에 관한 플롯(128)을 도시한다.
도 6은 물, 톨루엔, 및 에탄올과 관련된 몰 부피 및 표면 장력을 나타내는 표(129)를 도시한다.
도 7은 충전재들로서의 물, 에탄올, 및 톨루엔에 대한 켈빈 방정식에 따라 각각 다른 부분 압력으로 흡착에 의해 충전될 수 있는 원통형 구멍의 최대 직경을 나타내는 플롯(172)을 도시한다.
도 8은 충전재들로서의 물, 에탄올, 및 톨루엔에 대한 켈빈 방정식에 따라 각각 다른 부분 압력으로 흡착에 의해 충전될 수 있는 길며 트렌치와 유사한 피처의 최대 직경을 나타내는 플롯(160)을 도시한다.
도 9는 도 1에 관하여 서술된 바와 같은 분광 편광 해석기를 이용한 테트라에틸 오소실리케이트(TEOS; tetraethyl orthosilicate) 막 구조의 굴절률 측정을 도시한다.
도 10은 도 9에 도시된 측정에 기초하여 결정되는 파장에 관한 함수로서 추정되는 공극간극률(volume porosity) 퍼센티지에 관한 플롯라인(179)을 도시한다.
도 11은 다공성 계층(185)을 가진 측정 중인 임계 치수 구조(180)를 도시한다.
도 12는 50% 상대 습도의 환경에서 각각 다른 공극률 레벨들에 대하여 파장에 관한 함수로서 계층(185)의 굴절률과 관련된 플롯라인들(189-193)을 도시한다.
도 13은 도 1에 관하여 서술된 SE 시스템과 같은 분광 편광 해석기를 이용한 구조(180)의 측벽 각도의 시계열 측정을 도시한다.
도 14는 일 예시에서 가스 흡착의 영향을 받는 구조들의 공극률 측정을 수행하는 방법(200)을 나타낸다.
배경 기술 예시들 및 본 발명의 예시들에 대한 참조가 이하에서 상세하게 이루어질 것이며, 본 발명에 관한 예시들은 첨부 도면들에 도시된다.
모세관 응축 프로세스에 의해 응축액으로 충전된 반도체 구조들의 공극률 측정을 수행하는 방법 및 시스템이 이 문서에 제시된다. 관심 대상 구조들 주변의 국부적 환경이 제어된 양의 충전재를 포함하는 퍼지 가스의 흐름을 이용하여 처리되는 동안에 공극률의 광학 측정들이 수행된다. 충전재(즉, 응축액)의 일부분은 측정 중인 구조들 상으로 응축되고 구조 피처들의 오프닝, 구조 피처들 사이의 오프닝 등을 충전한다. 응축액의 존재는 퍼지 가스에 어떠한 충전재도 없는 경우의 측정 시나리오와 비교하여 측정 중인 구조의 광학 특성들을 변화시킨다.
몇몇 예시들에서, 구조에 관한 다수의 측정들이 각각 다른 흡착 상태들에 대하여 수행된다. 즉, 각각의 측정은 측정 중인 구조들 상으로 응축된 각각 다른 양의 응축액에 대응한다. 각각 다른 양의 응축액으로 충전된 구조들과 관련된 측정 신호 정보를 수집함으로써, 풍부한 측정 데이터 세트를 이용하여 공극률 측정들이 수행된다.
몇몇 예시들에서, 반도체 구조의 공극률 추정치는 로렌츠-로렌츠 모델을 이용한 비충전 상태 및 충전 상태 양자 모두에서의 구조의 측정에 기초하여 직접적으로 결정된다. 이러한 예시들 중 일부에서, 로우-K(low-K) 유전체 막 및 테트라에틸 오소실리케이트(TEOS) 막과 같은 평면 막의 공극률은 이 문서에 서술된 바와 같은 방법들에 기초하여 결정된다. 공극률 측정은 공극 크기, 공극 분배, 공극 용적 등의 추정을 포함할 수 있다.
또 다른 양상에서, 반도체 구조의 공극률 및 임계 치수 측정은 결합 측정 모델에 기초하여 수행된다. 모델 기반 측정은 응축액으로 충전된 기하학적 피처들을 가진 구조들로부터 수집되는 측정 신호를 포함하는 풍부한(enriched) 데이터 세트를 이용하여 수행된다. 이는 플로팅 임계 치수와 공극률 파라미터들 사이의 파라미터 상관 관계를 축소시키고 측정 정확도를 향상시킨다.
도 1은 반도체 웨이퍼의 특성들을 측정하기 위한 시스템(100)을 나타낸다. 도 1에 도시된 바와 같이, 웨이퍼 위치 확인 시스템(110) 상에 배치된 반도체 웨이퍼(112)의 하나 이상의 구조들(114)의 분광 편광 해석 측정들을 수행하는 데에 시스템(100)이 이용될 수 있다. 이러한 측면에서, 시스템(100)은 조명기(102) 및 분광계(104)를 구비한 분광 편광 해석기(101)를 포함할 수 있다. 시스템(100)의 조명기(102)는 선택된 파장 범위(예컨대, 100-2500 nm)의 조명을 생성하여 반도체 웨이퍼(112)의 표면 상에 배치된 구조(114)를 향하게 하도록 구성된다. 결국, 분광계(104)는 반도체 웨이퍼(112)의 표면으로부터 광을 수신하도록 구성된다. 또한, 조명기(102)로부터 나오는 광은 편광 상태 생성기(107)를 이용하여 편광되어 편광된 조명 빔(106)을 생성한다. 웨이퍼(112) 상에 배치된 구조(114)에 의해 반사되는 방사는 편광 상태 분석기(109)를 통과하여 분광계(104)로 전달된다. 수집 빔(108)에서 분광계(104)에 의해 수신된 방사는, 분석기에 의해 통과되는 방사의 스펙트럼 분석을 고려하여, 편광 상태에 관하여 분석된다. 검출되는 스펙트럼들(111)은 구조(114)의 분석을 위해 컴퓨팅 시스템(116)에 전달된다.
컴퓨팅 시스템(130)은 가스 흡착으로 인해 충전된 시료(112)의 구조(114)의 측정(예컨대, 임계 치수, 막 두께, 조성, 프로세스 등)과 관련된 측정 데이터(111)를 수신하도록 구성된다. 일 예시에서, 측정 데이터(111)는 분광계(104)로부터의 하나 이상의 샘플링 프로세스들에 기초한 측정 시스템(100)에 의한 시료의 측정된 스펙트럼 응답의 표시를 포함한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 측정 데이터(111)로부터 구조(114)의 시료 파라미터 값들을 결정하도록 또한 구성된다. 일 예시에서, 컴퓨팅 시스템(130)은 실시간 임계 치수화(RTCD; Real Time Critical Dimensioning)를 채택하여 실시간으로 모델 파라미터들에 액세스하도록 구성되거나, 타겟 구조(114)과 관련된 적어도 하나의 관심 대상 파라미터의 값을 결정하기 위해 사전 산출된 모델들의 라이브러리에 액세스할 수 있다. 몇몇 실시예들에서, 하나 이상의 관심 대상 파라미터들의 추정된 값들은 메모리(예컨대, 메모리(132))에 저장된다. 도 1에 도시된 실시예에서, 하나 이상의 관심 대상 파라미터들의 추정된 값들(115)은 외부 시스템(미도시)으로 전달된다.
일반적으로, 편광 해석법은 검사 중인 시료의 물리적 특성들을 측정하는 간접적인 방법이다. 대부분의 경우에, 원시(raw) 측정 신호들(예컨대, αmeas 및 βmeas)은 시료의 물리적 특성들을 직접적으로 결정하는 데에 이용될 수 없다. 명칭상의 측정 프로세스는 구조의 파라미터화(예컨대, 막 두께, 임계 치수, 재료 특성 등) 및 머신의 파라미터화(예컨대, 파장, 입사각, 편광각 등)로 구성된다. 측정된 값들(예컨대, αmeas 및 βmeas)을 예측하려고 시도하는 측정 모델이 생성된다. 수학식 1 및 수학식 2에 나타난 바와 같이, 모델은 기계와 관련된 파라미터들(Pmachine) 및 시료와 관련된 파라미터들(Pspecimen)을 포함한다.
Figure 112018118957701-pct00001
기계 파라미터들은 계측 툴(예컨대, 편광 해석기(101))을 특성화하는 데에 이용되는 파라미터들이다. 예시적인 기계 파라미터들은 입사각(AOI), 분석기 각도(A0), 편광기 각도(P0), 조명 파장, 개구수(NA), 보상기 또는 파장판(있는 경우) 등을 포함한다. 시료 파라미터들은 시료(예컨대, 구조(114)를 포함하는 시료(112))을 특성화하는 데에 이용되는 파라미터들이다. 시료 샘플에 대하여, 예시적인 시료 파라미터들은 굴절률, 유전 함수 텐서(tensor), 모든 계층들의 공칭(nominal) 계층 두께, 계층 시퀀스 등을 포함한다. CD 시료에 대하여, 예시적인 시료 파라미터들은 각각 다른 계층들과 연관된 기하학적 파라미터 값들, 각각 다른 계층들과 연관된 굴절률 등을 포함한다. 측정 목적을 위해, 기계 파라미터들은 알려진 고정 파라미터들로 취급되고 시료 파라미터들 중 하나 이상은 알려지지 않은 플로팅 파라미터들로 취급된다.
몇몇 예시들에서, 플로팅 파라미터들은 이론적 예측과 실험적 데이터 사이의 최량 적합(best fit)을 생성하는 반복적 프로세스(예컨대, 회귀)에 의해 해결된다. 알려지지 않은 시료 파라미터들 Pspecimen은 다양하며, 모델 출력 값들(예컨대, αmodel 및 βmodel)과 실험적으로 측정된 값들(예컨대, αmeas 및 βmeas) 사이의 근접 매칭(close match)을 야기하는 한 세트의 시료 파라미터 값들이 결정될 때까지 모델 출력 값들이 계산된다. CD 시료에 대한 분광 편광 해석법과 같은 모델 기반의 측정 애플리케이션에서, 고정된 세트의 기계 파라미터 값들에 대하여 모델 출력 값들과 실험적으로 측정된 값들 사이의 차이를 최소화하는 샘플 파라미터 값들을 식별하기 위해 회귀 프로세스(예컨대, 범용 최소 제곱 회귀법)가 채택된다.
몇몇 예시들에서, 플로팅 파라미터들은 가장 근접한 매칭을 찾기 위한 사전 산출된 솔루션들의 라이브러리를 통한 검색에 의해 해결된다. CD 시료에 대한 분광 편광 해석법과 같은 모델 기반의 측정 애플리케이션에서, 고정된 세트의 기계 파라미터 값들에 대하여 사전 산출된 출력 값들과 실험적으로 측정된 값들 사이의 차이를 최소화하는 시료 파라미터 값들을 식별하기 위해 라이브러리 검색 프로세스가 채택된다.
몇몇 다른 예시들에서, 관심 대상 파라미터들의 값들을 추정하기 위해 모델 기반의 라이브러리 회귀 또는 신호 응답 계측 모델이 채택된다.
모델 기반의 측정 애플리케이션에서, 가정을 단순화하는 것은 종종 충분한 처리량을 유지하는 것을 필요로 한다. 몇몇 예시들에서, 철저히 연결된 파장 분석(RCWA; Rigorous Coupled Wave Analysis)의 절단 순서는 연산 시간을 최소화하도록 축소되어야 한다. 또 다른 예시에서, 라이브러리 기능들의 수 또는 복잡성은 검색 시간을 최소화하도록 감소된다. 또 다른 예시에서, 플로팅 파라미터들의 수는 일정한 파라미터 값들을 고정함으로써 감소된다. 몇몇 예시들에서, 이들 가정들을 단순화하는 것은 하나 이상의 관심 대상 파라미터들(예컨대, 임계 치수 파라미터들, 오버레이 파라미터들 등)의 값들의 추정에서의 용납할 수 없는 오류들을 야기한다. 이 문서에 서술된 바와 같이 가스 흡착의 영향을 받는 구조들의 측정을 수행함으로써, 모델 기반의 측정 모델은 감소된 파라미터 상관 관계들 및 증가된 측정 정확도를 가지고 해결될 수 있다.
도 1에 도시된 바와 같이, 계측 시스템(100)은 측정 동안에 구조(114)에 가스 흐름(126)을 공급하도록 구성된 증기 주입 시스템(120)을 포함한다. 일 양상에서, 가스 흐름(126)은 퍼지 가스 및 퍼지 가스에 기화된 충전재를 포함한다. 가스 흐름이 구조(114)과 접하게 될 때, 흡착이 일어나며 충전재(즉, 응축액)의 일부분이 측정 중인 구조(114) 상으로 응축된다. 응축액은 구조(114)의 하나 이상의 구조 피처들의 적어도 일부분을 충전한다. 응축액의 존재는 측정된 구조의 광학적 특성들을 변화시킨다.
몇몇 실시예들에서, 퍼지 가스 흐름이 충전재(예컨대, 순수 질소 가스 또는 깨끗한 건조 공기)를 포함하지 않을 때 하나의 측정이 수행되고, 측정 중인 구조 피처들 사이의 오프닝들을 응축액이 완전히 충전하도록 퍼지 가스 흐름이 충전재를 포함할 때 또 다른 측정이 수행된다. 이들 두 개의 측정으로부터 수집되는 측정 데이터는 컴퓨팅 시스템(130)으로 전달되며 두 세트의 측정 데이터에 기초하여 하나 이상의 관심 대상 구조 파라미터들의 추정이 이루어진다.
몇몇 실시예들에서, 측정 중인 구조 피처들 상으로의 응축의 양이 각각의 측정에 대해 상이하게 되도록 상이한 흡착 조건들 하에서 일련의 측정들이 수행된다. 일련의 측정들로부터 수집되는 측정 데이터는 컴퓨팅 시스템(130)으로 전달되고 하나 이상의 관심 대상 구조 파라미터들의 추정은 수집된 측정 데이터에 기초하여 이루어진다.
도 1에 도시된 바와 같이, 상당한 양의 충전재(123)가 충전재 소스(121)로부터 증기 주입 시스템(120)으로 운송된다. 또한, 퍼지 가스(124)의 흐름이 퍼지 가스 소스(122)로부터 증기 주입 시스템으로 운송된다. 증기 주입 시스템(120)은 충전재가 퍼지 가스의 흐름으로 기화되도록 하여 측정 중인 구조(114)에 공급되는 가스 흐름(126)을 생성한다. 도 1에 도시된 실시예에서, 퍼지 가스의 흐름 및 퍼지 가스의 흐름으로 기화되는 충전재의 양은 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호들(125)에 의해 제어된다. 따라서, 명령 신호들(125)은 가스 흐름(126)의 원하는 조성을 제어한다. 도 1에 도시된 바와 같이, 가스 흐름(126)은 적절한 흐름 특성을 이용하여 웨이퍼(110) 상의 원하는 위치로 가스 흐름(126)을 향하게 하는 노즐(105)을 통과한다.
도 1은 측정 중인 계측 타겟에 국부적으로 공급되는 가스 흐름(126)을 도시한다. 그러나, 일반적으로, 가스 흐름(126)은 전체 웨이퍼에 걸쳐서, 조명 소스로부터 검출기까지의 빔 경로의 임의의 부분을 통해, 또는 이들의 임의의 조합으로 공급될 수 있다. 웨이퍼에 걸쳐서 그리고 조명 소스와 검출기 사이의 빔 경로를 통해 퍼지 가스 흐름을 공급하는 것에 관한 여러 예시들이 2010년 7월 13일에 등록된 곽희동 외에 의한 미국 등록 특허 제7,755,764호에 기술되어 있으며, 그 대상물은 전부가 참조에 의해 이 문서에 병합된다.
도 1에 도시된 시스템(100)에 관한 실시예들은 이 문서에 서술된 바와 같이 또한 구성될 수 있다. 또한, 시스템(100)은 이 문서에 서술된 방법 실시예(들) 중 어느 하나의 임의의 다른 블럭(들)을 수행하도록 구성될 수 있다.
도 2는 일 실시예에서의 증기 주입 시스템(120)을 나타내는 도이다. 본 실시예에서, 측정 중인 웨이퍼(112)에 공급되는 가스 흐름(126)에 기화되는 충전재의 양(즉, 응축액의 부분 압력)이 조절된다. 충전재의 부분 압력을 조절함으로써, 모세관 응축에 의해 충전되는 구조적 치수들이 제어된다.
도 2에 도시된 실시예에서, 퍼지 가스 흐름에 기화된 충전재(예컨대, 질소 가스, 깨끗한 건조 공기 등)의 부분 압력은 퍼지 가스가 버블되어 통과하는 충전재의 액체 수조에 대한 충전재의 평형 압력과 동일하다. 일 예시에서, 버블러(bubbler) 유형의 증기 주입 시스템은 미국 미주리 주 세인트루이스의 Sigma-Aldrich가 시판 중인 1.2 리터 용량의 스테인리스 강 버블러 모델 Z553360이다.
도 2에 도시된 바와 같이, 퍼지 가스 흐름(124)의 일부분(146)은 질량(mass) 흐름 제어기(148A)를 통과하고 퍼지 가스 흐름(124)의 또 다른 부분(145)은 질량 흐름 제어기(148B)를 통과한다. 가스 흐름들(146 및 145)의 흐름 속도는 각각 질량 흐름 제어기들(148A 및 148B)의 상태, 예컨대, 질량 흐름 제어기의 밸브 위치에 의해 제어된다. 이러한 방식으로, 충전재가 기화되어 있는 퍼지 가스 흐름(124)의 양이 질량 흐름 제어기(148B)에 의해 제어되고 기화의 영향을 받지 않는 퍼지 가스 흐름(124)의 양이 질량 흐름 제어기(148B)에 의해 제어된다. 도 2에 도시된 실시예에서, 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호(125)는 다수의 신호들(149A-C)을 포함한다. 신호(149A)는 질량 흐름 제어기(148A)를 통과하는 원하는 흐름에 관한 표시를 포함한다. 이에 대응하여, 질량 흐름 제어기(148A)는 원하는 흐름으로, 그리고 이에 따라, 충전재가 기화되어 있지 않은 퍼지 가스 흐름의 원하는 비율로 조절한다. 신호(149B)는 질량 흐름 제어기(148B)를 통과하는 원하는 흐름에 관한 표시를 포함한다. 이에 대응하여, 질량 흐름 제어기(148B)는 원하는 흐름으로, 그리고 이에 따라, 충전재가 기화되어 있는 퍼지 가스 흐름의 원하는 비율로 조절한다. 퍼지 가스 흐름(124)의 부분(145)은 체크 밸브(142)와 흐름 제어 밸브(143)를 통과하여 버블러(140)로 흘러간다. 버블러(140)에서, 상당한 양의 충전재가 퍼지 가스 흐름(124)의 일부분(145)으로 기화되어 퍼지 가스 및 충전재의 가스 흐름(147)을 생성한다. 가스 흐름(147)은 버블러(140)를 통과하여 흐르지 않은 퍼지 가스의 일부분(146)과 결합하여 가스 흐름(126)을 생성한다.
몇몇 실시예들에서, 질량 흐름 제어기들(149A 및 149B)은 퍼지 가스 전체가 버블러(140)를 통과해 흐르거나 버블러(140)를 완전히 우회하도록 제어된다. 이러한 방식으로, 가스 흐름(126)은 충전재의 부분 압력이 0인 건조 퍼지 가스 흐름(124)이거나 퍼지 가스 흐름(124) 전체가 충전재 기화의 영향을 받을 수 있다.
충전재가 버블러(140)에서 기화되어 가스 흐름(147)으로 운반되기 때문에, 버블러(140)에서 일정한 충전 레벨을 유지하기 위해 충전재 소스(121)로부터 추가적인 충전재(123)가 흘러나온다. 몇몇 실시예들에서, 충전 레벨은 레벨 센서 및 흐름 제어 방식에 기초하여 자동으로 제어된다. 몇몇 다른 실시예들에서, 충전 레벨은 수동적인 충전 작업에 의해 주기적으로 유지된다.
일 실시예에서, 주위 온도 Ta 에서 가스 흐름(126)의 기화된 충전재의 포화도는 기화의 영향을 받지 않는 퍼지 가스 흐름(146)의 일부분에 비례하여 충전재가 기화되어 있는 퍼지 가스 흐름(145)의 비율을 조절함으로써 제어된다. 바람직한 실시예에서, 버블러(140)에서의 충전재의 온도는 측정 중인 웨이퍼와 동일한 온도(예컨대, 주위 온도 Ta)로 유지된다. 이러한 조건들 하에서, 가스 흐름(126)의 충전재의 상대적 포화도 p0/p는 수학식 3에 나타나 있으며, 여기에서 F1은 완전히 포화된 가스 흐름(147)의 흐름 속도이고 F2는 불포화 가스 흐름(146)의 흐름 속도이다.
Figure 112018118957701-pct00002
도 2에 도시된 바와 같이, 가스 흐름들(146 및 147)은 결합되어 측정 중인 웨이퍼에 공급되는 가스 흐름(126)을 생성한다. 따라서, 측정 중인 웨이퍼에 공급되는 총 흐름은 F1과 F2의 합을 조절하기 위한 명령 신호들(148A 및 148B)을 전달함으로써 제어된다. 측정 중인 웨이퍼에 공급되는 흐름의 상대적 포화도는 F1과 F2의 비율을 조절하기 위한 명령 신호들(148A 및 148B)을 전달함으로써 제어된다.
또 다른 실시예에서, 주위 온도 Ta에서 기화된 충전재의 포화도는 액체 수조를 주위 온도보다 아래의 온도 T로 유지함으로써 제어된다. 순물질의 평형 증기 압력 p0와 온도 T 사이의 관계는 수학식 4로 나타낸 클라우지우스 클라페롱(Clausius-Clapeyron) 방정식으로 주어지며, 여기에서, ΔH는 순물질의 기화 엔탈피이고, R은 이상 기체 상수로서 8.31J /mole·K이다.
Figure 112018118957701-pct00003
수학식 4에 기초하여, 주위 온도 Ta보다 낮은 온도 T에서 포화되는 충전재에 대한 상대적인 포화도 p/p0는 수학식 5로 나타난다.
Figure 112018118957701-pct00004
도 4는 물, 톨루엔, 및 에탄올의 기화 엔탈피 ΔH를 포함하는 표(127)를 도시한다. 이들 물질들 각각은 이 문서에 서술된 바와 같이 충전재들로서 적절할 수 있다. 또한, 표(127)는 주위 온도가 섭씨 25도이고 원하는 충전재의 상대적 포화도 p/p0가 0.9일 때 주위 온도(즉, 웨이퍼 온도)와 수조 온도 간의 차이를 나타낸다. 표(127)에 나타낸 바와 같이, 수조 온도를 주위 온도보다 나타낸 정도 만큼 낮게 유지함으로써, 각각의 열거된 충전재에 대하여 0.9의 부분 압력이 유지된다. 웨이퍼와 버블러(140)의 액체 수조 사이에 섭씨 약 2도의 온도차를 유지하는 것이 상대적으로 간단한 문제이기 때문에 이들 물질들 중 어느 하나를 충전재로 이용하는 것이 유리할 수 있다. 본 실시예에서, 건조 퍼지 가스(146)의 흐름을 포화된 퍼지 가스(147)의 흐름과 결합시키지 않고서, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도를 제어하는 것이 가능하다. 즉, 흐름(146)은 0으로 설정될 수 있고, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도는 버블러 온도와 웨이퍼 온도 간의 온도차에 의해 제어된다. 몇몇 다른 예시들에서, 건조 퍼지 가스의 흐름(146)은 포화된 퍼지 가스의 흐름(147)과 결합되고, 주위 온도 Ta에서 가스 흐름(126)의 기화된 충전재의 포화도는 버블러 온도와 웨이퍼 온도 간의 온도차와, 가스 흐름(146)과 가스 흐름(147)의 흐름 속도들에 관한 비율의 조합에 의해 제어된다.
몇몇 실시예들에서, 수조 온도 및 웨이퍼 온도는 컴퓨팅 시스템(130)에 의해 측정되어 그에 전달된다. 컴퓨팅 시스템은 웨이퍼 온도와 수조 온도 간의 차이를 판정하고 원하는 웨이퍼 온도, 수조 온도, 또는 양자 모두를 계산한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 증기 주입 시스템(120)에 원하는 수조 온도를 나타내는 명령 신호(149C)를 생성한다. 이에 대응하여, 증기 주입 시스템(120)은 국부적 가열 또는 냉각 유닛(미도시)을 이용하여 수조 온도를 원하는 값으로 조절한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 웨이퍼 컨디셔닝 서브시스템(미도시)에 원하는 웨이퍼 온도를 나타내는 명령 신호(미도시)를 생성한다. 이에 대응하여, 웨이퍼 컨디셔닝 시스템은 웨이퍼 가열 또는 냉각 유닛(미도시)을 이용하여 웨이퍼 온도를 원하는 값으로 조절한다. 몇몇 실시예들에서, 컴퓨팅 시스템(130)은 국부적 웨이퍼 가열 요소(103)에 원하는 웨이퍼 온도를 나타내는 명령 신호(113)(도 1에 도시됨)를 생성한다. 이에 대응하여, 가열 유닛(103)은 방사성 가열 요소를 이용하여 원하는 값으로 국부적으로(즉, 측정 위치 바로 근처에서) 웨이퍼 온도를 조절한다.
몇몇 실시예들에서, 웨이퍼와 수조 사이의 온도차 제어는 증기 주입 시스템(120)과 관련된 컴퓨팅 시스템에 의해 제어된다. 이러한 관점에서, 컴퓨팅 시스템(130)에 의한 웨이퍼와 수조 사이의 온도차 제어는 비제한적(non-limiting) 예시를 통해 제공된다. 임의의 적절한 제어 아키텍처 및 온도 조절 방식이 본 특허 문서의 범주 내에서 고려될 수 있다.
도 3은 또 다른 실시예에서의 증기 주입 시스템(120)을 나타내는 도이다. 동일하게 번호 매겨진 요소들은 도 2와 관련하여 서술된 요소들과 유사하다.
도 3에 도시된 바와 같이, 퍼지 가스 흐름(124)은 3방향 밸브(141)를 통과한다. 몇몇 실시예들에서, 3방향 밸브(141)는 3향향 밸브의 위치에 기초하여 버블러(140)를 통과하여 흐르는 퍼지 가스 흐름(124)의 일부분(145)과 버블러(140)를 통과하여 흐르지 않는 일부분(146)을 적당한 비율로 조절한다. 이러한 방식으로, 충전재가 기화되어 있는 퍼지 가스 흐름(124)의 양은 3방향 밸브(141)에 의해 제어된다. 도 3에 도시된 실시예에서, 컴퓨팅 시스템(130)으로부터 증기 주입 시스템(120)으로 전달되는 명령 신호(125)는 다수의 신호들(149C-D)을 포함한다. 도 3에 도시된 실시예에서, 신호(149D)는 3방향 밸브(141)의 원하는 위치에 관한 표시를 포함한다. 이에 대응하여, 3방향 밸브(141)는 원하는 위치로 조절되고, 이에 따라 충전재가 기화되어 있는 퍼지 가스 흐름이 원하는 비율로 조절된다. 퍼지 가스 흐름(124)의 일부분(145)은 체크 밸브(142)와 흐름 제어 밸브(143)를 통과하여 버블로(140)로 흘러간다. 버블러(140)에서, 상당한 양의 충전재가 퍼지 가스 흐름(124)의 일부분(145)으로 기화되어 퍼지 가스와 충전재의 가스 흐름(147)을 생성한다. 가스 흐름(147)은 버블러(140)를 통과하여 흐르지 않는 퍼지 가스의 일부분(146)과 결합하여 가스 흐름(126)을 생성한다.
몇몇 실시예들에서, 3방향 밸브(141)는 3방향 밸브의 위치에 기초하여 퍼지 가스 흐름(124) 전체가 버블러(140)를 통과하여 흐르거나 버블러(140)를 완전히 우회하도록 제어된다. 이러한 방식으로, 가스 흐름(126)은, 3방향 밸브의 상태에 따라, 충전재의 부분 압력이 0인 건조 퍼지 가스 흐름(124)이거나 퍼지 가스 흐름(124) 전체가 충전재 기화의 영향을 받는다.
도 3과 관련하여 서술된 바와 같이, 측정 중인 웨이퍼에 공급되는 충전재의 양은 충전재 기화의 영향을 받지 않는 퍼지 가스 흐름(124)의 일부분(146)에 비례하여 충전재 기화의 영향을 받는 퍼지 가스 흐름(124)의 일부분(145)을 조절함으로써 제어된다. 또한, 웨이퍼 온도에서 기화된 충전재의 포화도는 웨이퍼 온도와 수조 온도 간의 차이를 조절함으로써 제어된다.
또 다른 실시예에서, 주위 온도에서 기화된 충전재의 포화도는 용매 단독인 경우의 평형 증기 압력과 비교하여 용매의 평형 증기 압력을 억제하도록 용매(즉, 충전재)의 액체 수조에 비휘발성 용질을 추가함으로써 제어된다. 일 예시에서, 용매로서의 물과 비휘발성 용질(예컨대, 염화나트륨, 염산 등)로부터 형성되는 용액은 순수한 물의 평형 증기 압력보다 작은 물의 증기 압력을 보여준다. 도 5는 물 수조의 염산 농도에 관한 함수로서의 물의 부분 압력에 관한 플롯(128)을 도시한다. 물에 용해된 염화나트륨 용액에 대하여 유사한 결과가 존재한다. 예컨대, 물에 용해된 6 퍼센트 염화나트륨의 용액은 90%의 상대 습도 p/p0를 나타낸다.
이러한 실시예들에서, 기화된 충전재(즉, 용매)의 포화도는 용액의 용질 농도를 제어함으로써 조절된다. 몇몇 실시예들에서, 수조 내 용매의 양은 원하는 농도를 유지하고, 이에 따라 기화된 용매의 원하는 부분 압력을 유지하도록 제어된다. 이러한 실시예들에서, 수조 온도가 명목상으로 주위 온도(즉, 웨이퍼 온도)로 유지되는 한, 정확한 온도 제어는 필요하지 않다.
일반적으로, 이 문서에 서술된 바와 같은 측정을 수행하는 데에 이용하기 위해 임의의 적절한 퍼지 가스 및 충전재가 선택될 수 있다. 예시적인 퍼지 가스는 비활성 가스, 질소, 및 깨끗한 건조 공기를 포함한다. 적절한 퍼지 가스의 선택은 반도체 제조 설비의 이용 가능성에 의해 주로 유도된다. 예시적인 충전재들은 물, 에탄올, 이소프로필 알코올, 메탄올, 벤젠, 톨루엔 등을 포함한다. 적절한 충전재들의 선택은 증기 압력을 제어하는 능력, 보이드(void) 충전 특성들, 광학 특성들, 및 충전재와 측정 중인 시료 간의 임의의 화학적 상호 작용들에 의해 유도된다.
예컨대, 충전재의 굴절률과 충전재의 흡수 계수 양자 모두는 기본 측정 모델에서, 입사광을 굴절시킬 뿐만 아니라 입사광을 흡수하기도 하는 액체 충전재로 간주된다. 이러한 특성들 양자 모두는, 특히 상대적으로 짧은 조명 파장(예컨대, 120 나노미터에서 190 나노미터에 이르는 범위를 갖는 진공 자외선 파장)에서, 그리고 또한 상대적으로 긴 조명 파장(예컨대, 2,500 나노미터 이상으로 연장하는 적외선 파장)에서 충전을 이용하여 수행되는 측정들과 충전 없이 수행되는 측정들 간의 차이들을 생성한다. 따라서, 굴절률 및 흡수 계수 양자 모두에 있어서 공기와 상당히 다른 액체 충전재의 선택은 멀티 타겟 측정 분석에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다. 또한, 굴절률 및 조명 파장에 관한 함수로서의 흡수 계수 양자 모두에 있어서 변화하는 액체 충전재의 선택은 스펙트럼 측정 분석에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다. 몇몇 실시예들에서, 100 나노미터와 2,500 나노미터 사이의 넓은 파장 범위를 캡쳐하는 여러 다양한 스펙트럼 계측 기술들을 이용하여 탈염수와 같은 충전재를 이용한 측정들이 수행될 수 있다.
예시적인 계측 기술들은 분광 편광 해석법, 뮐러 매트릭스 편광 해석법, 분광 반사 측정법, 각도 결정(angle-resolved) 반사 측정법 등을 포함한다.
또 다른 양상에서, 조명 파장에서 형광성을 보여주는 액체 충전재의 선택은 이미지 기반 측정 분석들에서 축소된 파라미터 상관 관계들에 대한 기회를 제공한다. 몇몇 실시예들에서, 충전재의 형광성은 이미지 콘트라스트를 향상시키고, 이미지 기반 오버레이, 이미지 기반 검사(예컨대, 암시야 및 명시야 검사) 등과 같은 이미지 기반 측정 기술들의 측정 성능을 개선시킨다.
또 다른 양상에서, 계측 타겟의 측정 동안에 계측 타겟 자체의 기하학적인 구조 피처들(예컨대, 임계 치수(CD; critical dimension) 구조들, 격자 구조들, 오버레이 구조들 등) 사이의 스페이스들을 충전하기 위해 모세관 응축이 채택된다. 일반적으로, 가스 흐름(126)에 기화된 재료의 원하는 포화도는 가스 흡착에 의해 충전될 최대 피처 크기에 기초하여 결정된다. 작은 피처들(예컨대, 공극들, 노치, 트렌치, 슬릿, 컨택 홀 등과 같은 작은 볼륨들)을 충전재로 충전하기 위해 모세관 응축이 채택된다. 켈빈 방정식은 특정 충전재에 대하여 충전될 수 있는 최대 피처 크기, 충전재의 부분 압력, 및 주위 온도(예컨대, 웨이퍼 온도)에 관한 근사치를 제공한다. 수학식 6은 두 개의 상이한 반경들 r1 및 r2를 가진 응축된 메니스커스(meniscus)에 대한 켈빈 방정식을 나타내며, 여기에서, R은 이상 기체 상수이고, Ta는 주위 온도이고, V는 충전재의 몰 부피이고, γ는 충전재와 관련된 표면 장력 상수이며, p/p0는 충전재의 부분 압력이다.
Figure 112018118957701-pct00005
도 6은 물, 톨루엔, 및 에탄올과 관련된 몰 부피 및 표면 장력을 나타내는 표(129)를 도시한다.
원통형 홀(hole) 또는 공극 피처들에 대하여, r1은 r2와 동일하다. 도 7은 수학식 6에 따라 흡착/응축에 의해 충전될 수 있는 원통형 홀 또는 공극의 최대 직경을 나타내는 플롯(172)을 도시한다. 플롯(172)은 섭씨 25도의 주위 온도에서의 각 충전재의 여러 부분 압력들에 대하여 물(플롯라인(175)), 에탄올(플롯라인(174)), 및 톨루엔(플롯라인(173))에 의해 충전될 수 있는 원통형 홀의 최대 직경을 도시한다. 도 7에 도시된 바와 같이, 최대 40 나노미터까지의 직경을 가진 원통형 홀들은 가스 흐름(126)이 95% 이상의 물 또는 에탄올의 부분 압력으로 계측 타겟에 공급될 때 충전될 수 있다. 또한, 도 7에 도시된 바와 같이, 최대 90 나노미터까지의 직경을 가진 원통형 홀들은 가스 흐름(126)이 95% 이상의 톨루엔의 부분 압력으로 계측 타겟에 공급될 때 충전될 수 있다.
라인들 및 스페이스들에 대하여, r2는 무한대이다. 도 8은 수학식 6에 따라 흡착에 의해 충전될 수 있는 긴 트렌치 유사 피처의 최대 직경을 나타내는 플롯(160)을 도시한다. 플롯(160)은 섭씨 25도의 주위 온도에서의 각 충전재의 여러 부분 압력들에 대하여 물(플롯라인(164)), 에탄올(플롯라인(163)), 및 톨루엔(플롯라인(162))에 의해 충전될 수 있는 트렌치의 최대 직경을 도시한다. 도시된 바와 같이, 긴 트렌치 유사 피처를 가로지르는 최대 직경은 원통형 홀 피처의 최대 직경의 절반이다. 도 7 및 도 8에 도시된 바와 같이, 충전재로서의 에탄올의 성능이 물과 매우 유사하기 때문에 물의 플롯라인과 에탄올의 플롯라인이 오버랩하는 것으로 보인다.
일 양상에서, 주위 온도 Ta에서 기화된 충전재의 포화도는 원하는 최대 피처 크기보다 작은 모든 피처들이 충전되도록 조절된다. 몇몇 실시예들에서, 이는 앞서 서술된 바와 같이, 기화의 영향을 받는 퍼지 가스의 흐름과 기화의 영향을 받지 않는 퍼지 가스의 흐름의 비율을 제어함으로써 달성된다. 몇몇 실시예들에서, 이는 웨이퍼와 충전재의 액체 수조 간의 온도차를 제어함으로써 달성된다. 몇몇 다른 실시예들에서, 이는 충전재의 액체 수조에 용해된 비휘발성 용질의 농도를 제어함으로써 달성된다.
박막의 굴절률의 분광기에 의한 측정치는 측정 중인 구조를 둘러싼 환경의 상대 습도에 따라 달라진다. 굴절률의 추정된 값의 변화는 막 구조의 공극들에서의 다양한 응축에 기인한다. 일 예시에서, 공극에 응축된 물의 표면이 공극 반경과 대략적으로 동일한 반경 r의 네거티브 곡률(즉, 메니스커스)을 가지도록 물이 실리콘 이산화물의 막을 적신다. 상온에서, T = 298K이고, 상대 습도가 약 50%일 때, 약 2 나노미터 이하의 반경을 가진 공극들이 물로 충전된다.
도 9는 도 1에 관하여 서술된 바와 같은 분광 편광 해석기를 이용한 테트라에틸 오소실리케이트(TEOS) 막 구조의 굴절률 측정을 도시한다. 플롯라인(176)은 건조 환경에서 막이 충전되는 경우의 측정 결과들을 도시한다. 플롯라인(177)은 약 50%의 상대 습도로 막 측정이 수행되는 경우의 측정 결과들을 도시한다. 플롯라인(178)은 물 자체의 굴절률에 관한 측정 결과들을 도시한다.
충전되어 있거나 비어 있는 공극들의 굴절률과 충전재의 굴절률 간의 관계는 수학식 7로 나타낸 로렌츠-로렌츠 방정식에 의해 주어지며, 여기에서 Vopen은 비충전 공극들의 용적이고, ηf는 공극들이 충전된 경우의 굴절률이고, ηe는 공극들이 충전되지 않은 경우의 굴절률이며, ηads는 응축액 물질 자체의 굴절률이다.
Figure 112018118957701-pct00006
2 나노미터보다 작은 반경을 가진 모든 공극들(즉, 50%의 상대습도에서 물로 충전된 공극들)과 관련된 공극률은 측정된 데이터에 로렌츠-로렌츠 방정식을 적용함으로써 결정된다. 도 10은 도 9에 도시된 측정에 기초하여 결정되는 파장에 관한 함수로서 추정되는 공극간극률(volume porosity) 퍼센티지에 관한 플롯라인(179)을 도시한다. 도 10에 도시된 바와 같이, TEOS 막은 2 나노미터보다 작은 반경을 가진 공극들에 대하여 약 3 퍼센트의 공극간극률 퍼센티지를 보여준다.
몇몇 예시들에서, 공극 크기 분배는 여러 상이한 상대 습도(즉, 부분 압력, p/p0, 조건들)에서의 굴절률 측정에 기초하여 유사한 방식으로 결정된다.
또 다른 양상에서, 공극률 및 임계 치수 측정은 최대 피처 크기들의 범위 이하의 모든 피처들이 충전되도록 주위 온도에서 기화된 충전재의 각각 다른 포화도로 수행된다. 측정치들은 멀티 타겟 모델 기반 측정에서 결합되어 축소된 파라미터 상관 관계 및 향상된 측정 성능을 가지고 공극률 및 하나 이상의 임계 치수들의 값들을 추정한다.
도 11은 약 60 나노미터의 임계 치수(CD)를 가진 측정 중인 구조(180)를 도시한다. 구조(180)는 다수의 계층들(181-188)을 포함한다. 계층(185)은 다공성 계층이며 약 150 나노미터의 높이 H와 측벽 각도 SWA(sidewall angle)를 갖는다. 계층(185)이 다공성이기 때문에, 그 굴절률은 상술한 바와 같이 측정 동안에 구조를 둘러싸는 충전재의 부분 압력에 따라 변화한다.
도 13은 도 1에 관하여 서술된 SE 시스템과 같은 분광 편광 해석기를 이용한 구조(180)의 측벽 각도의 시계열 측정을 도시한다. 제1 시간 주기 A에서, 건조 퍼지 가스가 측정 중인 구조(180) 주변에 공급된다. 다음 시간 주기 B에서, 건조 퍼지 가스가 제거되고 습한 공기가 측정 중인 구조(180)를 둘러싼다. 다음 시간 주기 C에서, 건조 퍼지 가스가 측정 중인 구조(180) 주변에 다시 공급된다. 도 13에 도시된 모델 기반 측정 결과들은 고정된 값의 굴절률을 이용하여 수행된다. 그러나, 계층(185)의 굴절률은 측정 중인 구조(180)를 둘러싸는 충전재(즉, 물)의 부분 압력에 따라 변화한다. 굴절률이 값이 모델 기반 측정에서 고정되는 경우에, 측벽 각도의 측정이 영향을 받는다. 도 13에 도시된 바와 같이, 측벽 각도의 측정된 값은, 사실상, 측벽 각도가 고정된다고 하더라도, 다공성 계층(185)을 둘러싸는 습도 조건에 따라 변화한다. 이러한 추정된 값의 변화는, CD/형태 계산이 멀티 파라미터 회귀이고 임의의 특정 계층의 굴절률 변화가 모든 계층들에 대한 값의 변화를 야기하기 때문에 발생한다.
몇몇 실시예들에서, 다공성 계층(185)의 굴절률은 플로팅되고(즉, 구해져야 할 알려지지 않은 값으로 다루어짐) 충전재의 여러 상이한 부분 압력들에서 측정들이 수행된다. 다공성 계층(185)의 측벽 각도 및 굴절률 양자모두를 구하기 위해 멀티 파라미터 회귀가 수행된다. 굴절률은 로렌츠-로렌츠 방정식에 의해 공극률과 관련된다. 이러한 관계가 측정 모델에 통합될 때, 측정 모델의 멀티 파라미터 회귀로 계층(185)의 측벽 각도 및 공극률을 구한다.
도 12는 50% 상대 습도(즉, 0.5의 물의 부분 압력)의 환경에서 각각 다른 공극률 레벨들에 대하여 파장에 관한 함수로서 계층(185)의 굴절률과 관련된 플롯라인들(189-193)을 도시한다. 플롯라인(189)은 공극률이 없는 계층에 대한 굴절률을 도시하고, 플롯라인(190)은 1% 공극간극률을 가진 계층에 대한 굴절률을 도시하고, 플롯라인(191)은 3% 공극간극률을 가진 계층에 대한 굴절률을 도시하고, 플롯라인(192)은 5% 공극간극률을 가진 계층에 대한 굴절률을 도시하며, 플롯라인(193)은 10% 공극간극률을 가진 계층에 대한 굴절률을 도시한다.
예시의 목적으로, 계층(185)의 굴절률에 대한 세 개의 상이한 고정 값들을 이용하여 시간 주기 B 동안에 수집되는 데이터를 이용하여 측벽 각도의 추정치가 다시 계산된다. 추정된 값들(196)은 플롯라인(189)과 매칭되는 가정된 굴절률에 기초하여 결정된다. 추정된 값들(195)은 플롯라인(190)(즉, 1% 공극률)과 매칭되는 가정된 굴절률에 기초하여 결정된다. 추정된 값들(194)은 플롯라인(191)(즉, 3% 공극률)과 매칭되는 가정된 굴절률에 기초하여 결정된다. 도 13에 도시된 바와 같이, 약 2%의 공극률 추정치는 건조 퍼지 가스와 50%의 상대 습도를 가진 공기 사이의 측정 변화를 설명한다. 이러한 방식으로, CD/형태 파라미터들 및 공극률은 동일한 측정 데이터에 기초하여 추정된다.
일반적으로, 측정 장소(site)는 측정 시스템(예컨대, 도 1에 도시된 계측 시스템(100))에 의해 측정되는 하나 이상의 계측 타겟들을 포함한다. 일반적으로, 측정 데이터 수집은 전체 웨이퍼 또는 웨이퍼 영역의 서브세트에 걸쳐서 수행될 수 있다. 또한, 몇몇 실시예들에서, 계측 타겟들은 프로세스 파라미터들, 관심 대상 구조 파라미터들, 또는 양자 모두의 변화들에 대한 인쇄 적성(printability) 및 민감도(sensitivity)를 위하여 설계된다. 몇몇 예시들에서, 계측 타겟들은 전문화된 타겟들이다. 몇몇 실시예들에서, 계측 타겟들은 종래의 라인/스페이스 타겟들에 기초한다. 비제한적 예시로, CD 타겟, SCOL 타겟, 또는 미국 캘리포니아주 밀피타스의 KLA-Tencor Corporation에 의한 AiMTM 타겟이 채택될 수 있다. 몇몇 다른 실시예들에서, 계측 타겟들은 디바이스 유사 구조들이다. 몇몇 다른 예시들에서, 계측 타겟들은 디바이스 구조들 또는 디바이스 구조들의 일부분들이다. 채택되는 계측 타겟의 유형에 상관 없이, 분석되고 있는 프로세스 변화들, 구조적 변화들 또는 양자 모두에 대한 민감도를 나타내는 한 세트의 계측 타겟들은 이 문서에 서술된 바와 같이 모세관 응축에 의한 형태(shape) 충전을 이용하여 측정된다.
또 다른 양상에서, 측정 데이터는 CD 구조들이 충전되었을 때(즉, 이 문서에 서술된 바와 같이 가스 흡착의 영향을 받을 때)와 충전되지 않았을 때(즉, 가스 흡착의 영향을 받지 않을 때), CD 구조들로부터 수집된다. 수집된 데이터는 측정 성능을 향상시키기 위해 멀티 타겟 모델 기반 측정에서 결합된다.
수집된 데이터는 컴퓨팅 시스템(130)에 의해 수신된다. 컴퓨팅 시스템(130)은, 관심 대상 파라미터들의 값들을 추정하기 위해 멀티 타겟 모델을 포함한 측정 데이터 세트들 양자 모두를 이용하여 모델 기반 측정 분석을 수행한다. 몇몇 예시들에서, 이 문서에 서술된 멀티 타겟 모델은, 예컨대, 미국 캘리포니아주 밀피타스의 KLA-Tencor Corporation에 의한 AcuShape®을 구현하는 컴퓨팅 시스템에 의해 오프라인으로 구현된다. 결과적인 멀티 타겟 모델은 멀티 타겟 모델을 이용하여 측정들을 수행하는 계측 시스템에 의해 접근 가능한 AcuShape® 라이브러리의 일 요소로 통합된다.
또 다른 양상에서, 계측 타겟 구조들이 각각 다른 충전재 또는 다른 충전재들의 조합으로 충전되었을 때 계측 타겟 구조들로부터 측정 데이터의 각 세트가 수집되도록, 일련의 측정들이 수행된다. 수집된 데이터는 멀티 타겟 모델 기반 측정에서 결합되어 파라미터 상관 관계들을 축소시키고 측정 성능을 개선시킨다.
또 다른 양상에서, 흡착 프로세스가 정상 상태에 도달하였을 때 흡착의 영향을 받는 계측 타겟으로부터 측정 데이터가 수집된다. 즉, 흡착 프로세스에 의해 공급되는 충전의 양이 정상 상태에 도달한다.
또 다른 양상에서, 흡착 프로세스가 정상 상태에 도달하기 이전에 흡착의 영향을 받는 계측 타겟으로부터 측정 데이터가 수집된다. 즉, 흡착 프로세스에 의해 공급되는 충전의 양이 측정 시간 동안에 변화한다.
도 14는 가스 흡착의 영향을 받는 구조들의 공극률 측정을 수행하는 방법(200)을 나타낸다. 방법(200)은 본 발명의 도 1에 도시된 계측 시스템(100)과 같은 계측 시스템에 의한 구현에 적합하다. 일 양상에서, 방법(200)의 데이터 프로세싱 블럭들은 컴퓨팅 시스템(130) 또는 임의의 다른 범용 컴퓨팅 시스템에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음을 알 수 있다. 계측 시스템(100)의 특정 스펙트럼 양상들이 제한들을 나타내지 않으며 단지 예시적인 것으로 해석되어야 함을 이 문서에서 알 수 있다.
블럭(201)에서, 조명 시스템에 의해 시료 상에 배치된 하나 이상의 구조적 요소에 제1 양의 조명 광이 공급된다.
블럭(202)에서, 기상으로 제1 충전재를 포함하는 제1 가스 흐름이 하나 이상의 구조적 요소의 조명 동안에 하나 이상의 구조적 요소에 공급된다. 충전재의 일부분은 액상으로 하나 이상의 구조적 요소들 상에 응축되어, 하나 이상의 구조적 요소의 하나 이상의 기하학적인 구조적 피처 사이의 공간의 적어도 일부분을 충전한다.
블럭(203)에서, 제1 양의 조명 광에 응답하여 하나 이상의 구조적 요소로부터 제1 양의 수집 광이 검출된다.
블럭(204)에서, 예컨대, 검출기에 의해, 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호들이 생성된다.
블럭(205)에서, 제1 세트의 측정 신호들 및 측정 모델에 적어도 부분적으로 기초하여 하나 이상의 구조적 요소의 공극률을 나타내는 값이 추정된다.
도 1에 도시된 실시예에서, 변화하는 양의 액체 충전재를 가진 가스 흐름의 영향을 받는 계측 타겟들에 관한 분광 편광 해석기 측정들이 수행된다. 그러나, 일반적으로, 이 문서에 서술된 방법 및 시스템에 따른 변화하는 양의 액체 충전재를 가진 가스 흐름의 영향을 받는 계측 타겟들에 관한 측정들을 수행하기 위해 임의의 적절한 모델 기반 계측 기술이 채택될 수 있다.
적절한 모델 기반 계측 기술들은, 그에 제한되는 것은 아니지만, 단일 파장, 다수 파장, 및 각 분해 구현예들을 포함하는 분광 편광 해석법 및 분광 반사 측정법을 포함하며, 각 분해 및 편광 분해 구현예들을 포함하는 분광 산란 측정법, 산란 측정 오버레이, 빔 프로파일 반사 측정법, 및 빔 프로파일 편광 해석법이 개별적으로 또는 임의의 조합으로 고려될 수 있다.
일반적으로, 상술한 측정 기술들은 프로세스 파라미터들, 구조 파라미터들, 레이아웃 파라미터들, 분산 파라미터들, 또는 이들의 임의의 조합의 측정에 적용될 수 있다. 비제한적 예시로, 오버레이, 프로파일 기하학 파라미터들(예컨대, 임계 치수, 높이, 측벽 각도), 프로세스 파라미터들(예컨대, 리소그래피 포커스 및 리소그래피 도스(dose)), 분산 파라미터들, 레이아웃 파라미터들(예컨대, 피치 워크(pitch walk), 에지(edge) 배치 오류들), 막 두께, 조성 파라미터들, 또는 파라미터들의 임의의 조합이 상술한 기술들을 이용하여 측정될 수 있다.
비제한적 예시로, 형태 충전을 이용하여 측정된 구조들은 라인-스페이스 격자 구조들, FinFet 구조들, SRAM 디바이스 구조들, 플래시 메모리 구조들, 및 DRAM 메모리 구조들을 포함한다.
또 다른 추가 양상에서, 웨이퍼 상에 위치된 계측 타겟들은 설계 규칙 타겟들이다. 즉, 계측 타겟들은 기본 반도체 제조 프로세스에 적용 가능한 설계 규칙들을 준수한다. 몇몇 예시들에서, 계측 타겟들은 바람직하게는 활성 다이(die) 영역 내에 위치된다. 몇몇 예시들에서, 계측 타겟들은 15 마이크로미터 × 15 마이크로미터 또는 그보다 작은 치수를 갖는다. 몇몇 다른 예시들에서, 계측 타겟들은 스크라이브(scribe) 라인들에, 그렇지 않으면 활성 다이 영역의 바깥쪽에 위치된다.
몇몇 예시들에서, 모델 기반 측정들은 하나의 관심 대상 파라미터를 추정하기 위해 형태 충전을 이용하여 수행된다. 따라서, 관심 대상 파라미터와 관련된 측정 모델은 독립적으로 최적화된다. 각각의 관심 대상 파라미터를 개별적으로 측정함으로써, 계산 부담이 감소되고, 각각의 개별 파라미터에 대하여 최적화된 각각 다른 파장, 측정 서브시스템, 및 측정 방법을 선택함으로써 기본 측정 성능이 최대화될 수 있다. 또한, 관심 대상 파라미터 각각에 대하여, 각각 다른 모델 기반 측정 솔버들이 선택되거나 또는 각각 다르게 구성될 수 있다.
그러나, 몇몇 다른 예시들에서, 모델 기반 측정들은 다수의 관심 대상 파라미터들을 동시에 추정하기 위해 형태 충전을 이용하여 수행된다. 따라서, 측정 모델은 다수의 관심 대상 파라미터들을 얻도록 개발된다.
몇몇 예시들에서, 웨이퍼 상의 다수의 사이트들로부터 데이터가 수집될 수 있다 할지라도, 특정 측정 사이트에서 수행된 관심 대상 파라미터들의 측정은 오직 그 특정 측정 사이트로부터 수집된 데이터에만 의존한다. 몇몇 다른 예시들에서, 웨이퍼 또는 웨이퍼의 서브세트를 가로지르는 다수의 사이트들로부터 수집된 측정 데이터는 측정 분석을 위해 이용된다. 이는 웨이퍼를 가로질러 파라미터 변화들을 캡처하는 데에 바람직할 수 있다.
몇몇 예시들에서, 관심 대상 파라미터들의 측정은, 단일 타겟 기술들, 다중 타겟 기술들, 및 스펙트럼 피드 포워드(feed forward) 기술들을 포함하는 다수의 다양한 측정 기술들을 이용하여 충전된 계측 타겟들에 기초하여 수행된다. 측정된 파라미터들의 정확도는 피드 사이드웨이 분석, 피드 포워드 분석, 및 병렬 분석의 임의의 조합에 의해 향상될 수 있다. 피드 사이드웨이 분석은 동일한 시료의 각각 다른 영역들 상의 다수의 데이터 세트들을 취하여 제1 데이터 세트로부터 결정된 공통 파라미터들을 분석을 위해 제2 데이터 세트 상으로 전달하는 것을 말한다. 피드 포워드 분석은 각각 다른 시료 상의 데이터 세트들을 취하여 단계적인 정확한 파라미터 복사 피드 포워드 접근법을 이용하여 후속하는 분석들에 공통 파라미터들을 포워딩하여 전달하는 것을 말한다. 병렬 분석은 피팅 동안에 적어도 하나의 공통 파라미터가 결합되는 다수의 데이터 세트들에 대한 비선형 피팅(fitting) 방법론의 병렬 또는 동시 적용을 말한다.
다수의 툴 및 구조 분석은 회귀(regression), 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터 세트들의 또 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 말한다. 다수의 툴 및 구조 분석에 대한 예시적인 방법 및 시스템은 KLA-Tencor Corporation에 2009년 1월 13일에 등록된 미국 등록 특허 제7,478,019호에 서술되어 있으며, 이는 그 전부가 참조에 의해 이 문서에 병합된다.
또 다른 양상에서, 이 문서에 서술된 바와 같이 획득된 측정 결과들은 프로세스 툴(예컨대, 리소그래피 툴, 에치 툴, 증착 툴 등)에 액티브 피드백을 제공하는 데에 이용될 수 있다. 예컨대, 이 문서에 서술된 방법 및 시스템을 이용하여 결정되는 임계 치수들의 값들은 원하는 출력을 달성하도록 리소그래피 시스템을 조정하기 위해 리소그래피 툴에 전달될 수 있다. 유사한 방식으로, 에칭 파라미터들(예컨대, 에칭 시간, 확산율 등) 또는 증착 파라미터들(예컨대, 시간, 농도 등)이 측정 모델에 포함되어 에치 툴들 또는 증착 툴들에 각각 액티브 피드백을 제공할 수 있다. 몇몇 예시에서, 측정된 디바이스 파라미터 값들에 기초하여 결정된 프로세스 파라미터들에 대한 정정은 리소그래피 툴, 에치 툴, 또는 증착 툴에 전달될 수 있다.
본 발명 전체에 걸쳐서 서술되는 여러 단계들은 단일 컴퓨터 시스템(130), 다수의 컴퓨터 시스템(130), 또는 다수의 다양한 컴퓨터 시스템들(130)에 의해 수행될 수 있음을 알 수 있다. 또한, 분광 편광 해석기(101)와 같은 시스템(100)의 각각 다른 서브시스템들은 이 문서에 서술된 단계들 중 적어도 일부분을 수행하는 데에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 앞서의 서술 내용은 단지 예시일 뿐이며 본 발명에 대한 제한으로 해석되어서는 안된다. 또한, 컴퓨팅 시스템(130)은 이 문서에 서술된 방법 실시예들 중 어느 하나의 임의의 다른 단계(들)을 수행하도록 구성될 수 있다.
컴퓨팅 시스템(130)은 그에 제한되는 것은 아니지만, 개인용 컴퓨터 시스템, 메임프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 당해 기술 분야에서 알려진 임의의 다른 디바이스를 포함할 수 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 포함하여, 임의의 디바이스 또는 디바이스들의 조합을 아우르도록 광범위하게 정의될 수 있다. 일반적으로, 컴퓨팅 시스템(130)은 측정 시스템(100)과 같은 측정 시스템과 통합되거나, 대안으로, 임의의 측정 시스템으로부터 전체적으로 또는 부분적으로 분리될 수 있다. 이러한 관점에서, 컴퓨팅 시스템(130)은 원격으로 위치하여 임의의 측정 소스로부터 측정 데이터를 수신하고 계측 시스템(100)의 임의의 요소에 명령 신호들을 송신할 수 있다.
이 문서에 서술된 바와 같은 방법들을 구현하는 프로그램 명령들(134)은 와이어, 케이브, 또는 무선 송신 링크와 같은 송신 매체를 통해 송신될 수 있다. 프로그램 명령들(134)을 저장하는 메모리(132)는 읽기 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 컴퓨터 판독 가능 매체를 포함할 수 있다.
또한, 컴퓨팅 시스템(130)은 당해 기술 분야에 알려진 임의의 방식으로 분광계(104) 또는 편광 해석기(101)의 조명기 서브시스템(102)에 통신 가능하게 결합될 수 있다.
컴퓨팅 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 송신 매체를 통해 시스템의 서브시스템들(예컨대, 분광계(104), 조명기(102), 증기 주입 시스템(120) 등)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크의 역할을 할 수 있다. 또한, 컴퓨팅 시스템(130)은 저장 매체(즉, 메모리)를 통해 측정 데이터를 수신하도록 구성될 수 있다. 예컨대, 편광 해석기(101)의 분광계를 이용하여 획득된 스펙트럼 결과들은 영구적 또는 반영구적 메모리 디바이스(미도시)에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 외부 시스템으로부터 불러올 수 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 외부 시스템들로부터 데이터를 수신할 수 있다.
컴퓨팅 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 송신 매체를 통해 시스템의 서브시스템들(예컨대, 분광계(104), 조명기(102), 증기 주입 시스템(120) 등)에 데이터 또는 정보를 송신하도록 구성될 수 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크의 역할을 할 수 있다. 또한, 컴퓨팅 시스템(130)은 저장 매체(즉, 메모리)를 통해 명령 신호들 및 측정 결과들을 송신하도록 구성될 수 있다. 예컨대, 스펙트럼 데이터의 분석을 통해 획득된 측정 결과들(115)은 영구적 또는 반영구적 메모리 디바이스(미도시)에 저장될 수 있다. 이와 관련하여, 스펙트럼 결과들은 외부 시스템에 내보낼 수 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 외부 시스템들에 데이터를 전송할 수 있다. 또한, 관심 대상 파라미터의 결정된 값들은 메모리에 저장된다. 예컨대, 그 값들은 측정 시스템(100)에 온 보드로, 예컨대 메모리(132)에 저장되거나, 외부 메모리 디바이스에 (예컨대, 출력 신호(115)를 통해) 전달될 수 있다.
이 문서에 서술된 바와 같은, "모세관 응축"이라는 용어는 가스 흡착 또는 공극 응축을 포함하는 기화된 충전재의 응축에 의해 다공성 구조들을 충전하는 임의의 물리적 프로세스를 포함한다. 이러한 관점에서, "모세관 응축", "공극 응축", 및 "흡착"이라는 용어들은 서로 교대해서 사용되며, 본 특허 문서의 목적들을 위한 동일한 물리적 프로세스들에 대해 서술한다.
이 문서에 서술된 바와 같은, "임계 치수"라는 용어는 구조의 임의의 임계 치수(예컨대, 하단 임계 치수, 중간 임계 치수, 상단 임계 치수, 측벽 각도, 격자 높이 등), 임의의 둘 이상의 구조들 사이의 임계 치수(예컨대, 두 개의 구조들 사이의 거리), 및 둘 이상의 구조들 사이의 변위(예컨대, 중첩되는 격자 구조들 사이의 오버레이 변위 등)를 포함한다. 구조들은 3차원 구조들, 패터닝된 구조들, 오버레이 구조들 등을 포함할 수 있다.
이 문서에 서술된 바와 같은, "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"이라는 용어는 임의의 임계 치수 측정을 포함한다.
이 문서에 서술된 바와 같은, "계측 시스템"이라는 용어는 임계 치수 계측, 오버레이 계측, 포커스/조사량 계측, 및 혼성 계측과 같은 측정 애플리케이션들을 포함하여, 임의의 측면에서 시료를 적어도 부분적으로 특성화하도록 채택된 임의의 시스템을 포함한다. 그러나, 그러한 해당 기술 분야의 용어들은 이 문서에 서술된 "계측 시스템"이라는 용어의 범주를 제한하지 않는다. 또한, 계측 시스템(100)은 패터닝된 웨이퍼들 및/또는 패터닝되지 않은 웨이퍼들의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 후면 검사 툴, 매크로 검사 툴, 또는 다중 모드 검사 툴(동시에 하나 이상의 플랫폼들로부터의 데이터를 포함함), 및 임계 치수 데이터에 기초한 시스템 파라미터들의 보정으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴과 같은 검사 툴로 구성될 수 있다. 본 특허 문서의 목적들에 대하여, "계측" 시스템 및 "검사" 시스템이라는 용어들은 동의어들이다.
시료를 프로세싱하는 데에 이용될 수 있는 반도체 프로세싱 시스템(예컨대, 검사 시스템 또는 리소그래피 시스템)에 대한 여러 실시예들이 이 문서에서 서술된다. "시료"라는 용어는 웨이퍼, 레티클, 또는 당해 기술 분야에서 알려진 수단에 의해 프로세싱될 수 있는(예컨대, 인쇄되거나 결함에 대하여 검사될 수 있는) 임의의 다른 샘플을 지칭하기 위해 이 문서에서 사용된다.
이 문서에서 이용되는, "웨이퍼"라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판들을 지칭한다. 예시들은, 그에 제한되는 것은 아니지만, 단결정 실리콘, 갈륨 비소, 및 인화 인듐을 포함한다. 그러한 기판들은 반도체 제조 설비에서 흔히 발견 및/또는 프로세싱될 수 있다. 몇몇 경우들에서, 웨이퍼는 오직 기판만을 포함할 수 있다(즉, 베어(bare) 웨이퍼). 대안으로, 웨이퍼는 기판 상에 형성된 각각 다른 재료들의 하나 이상의 계층들을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 계층들은 "패터닝되거나" "패터닝되지 않을 수 있다". 예컨대, 웨이퍼는 반복적 패턴 피처들을 가진 복수의 다이(die)들을 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 단계에서의 레티클이거나, 반도체 제조 설비에서의 이용을 위해 릴리스(release)되거나 릴리스되지 않을 수 있는 완전한 레티클일 수 있다. 레티클 또는 "마스크"는 대체로 불투명한 영역들이 그 위에 형성되어 있으며 패턴으로 구성되어 있는 대체로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예컨대, 비정질 SiO2와 같은 유리 재료를 포함할 수 있다. 레티클은, 레티클 상의 패턴이 레지스트로 옮겨질 수 있도록 리소그래피 프로세스의 노출 단계 동안에 레지스트가 덮여 있는 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성된 하나 이상의 계층들은 패터닝되어 있거나 패터닝되어 있지 않을 수 있다. 예컨대, 웨이퍼는 각각 반복적 패턴 피처들을 가지고 있는 복수의 다이들을 포함할 수 있다. 그러한 재료 계층들의 형성 및 프로세싱은 궁극적으로 완전한 디바이스들을 야기할 수 있다. 여러 다양한 유형의 디바이스들이 웨이퍼 상에 형성될 수 있으며, 이 문서에서 사용되는 웨이퍼라는 용어는 당해 기술 분야에서 알려진 임의의 유형의 디바이스가 그 위에 제조되어 있는 웨이퍼를 포괄한다.
하나 이상의 예시적인 실시예들에서, 서술된 함수(function)들은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현될 경우, 함수들은 컴퓨터 판독 가능 매체 상의 하나 이상의 명령들 또는 코드로서 그에 저장되거나 그를 통해 송신될 수 있다. 컴퓨터 판독 가능 매체은 컴퓨터 저장 매체, 및 한 곳으로 부터 다른 곳으로의 컴퓨터 프로그램의 이동을 가능하게 하는 임의의 매체를 포함하는 통신 매체 양자 모두를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체들일 수 있다. 제한이 아닌 예시로서, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소, 자기 디스크 저장소 또는 다른 자기 저장소 디바이스들, 또는 원하는 프로그램 코드 수단을 명령 또는 데이터 구조의 형태로 전달 또는 저장하는 데에 이용될 수 있고 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 다른 매체, 또는 범용 또는 특수 목적 프로세서를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체라고 적절하게 지칭될 수 있다. 예컨대, 동축 케이블, 광섬유 케이블, 트위스트페어, 디지털 가입자 회선(DSL; digital subscriber line), 또는 적외선, 라디오, 또는 마이크로웨이브와 같은 무선 기술들을 이용하여 소프트웨어가 웹사이트, 서버, 또는 다른 원격 소스로부터 송신될 경우, 동축 케이블, 광섬유 케이블, 트위스트페어, DSL, 또는 적외선, 라디오, 또는 마이크로웨이브와 같은 무선 기술들은 매체의 정의 내에 포함된다. 이 문서에서 이용되는 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(CD; compact disc), 레이저 디스크(disc), 광학 디스크(disc), 디지털 다기능 디스크(DVD; digital versatile disc), 플로피 디스크(disk), 및 블루레이 디스크(disc)를 포함하며, 여기에서 디스크(disk)는 보통 자기적으로 데이터를 재생하는 한편, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합들 또한 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
일정한 특정 실시예들이 설명의 목적을 위해 서술되긴 하였지만, 본 특허 문서의 내용은 일반적인 적용 가능성을 가지며 상술한 특정 실시예들로 제한되지 않는다. 따라서, 서술된 실시예들의 여러 특징들에 관한 다양한 변형, 적응, 및 조합들이 청구범위에서 제시되는 본 발명의 범주로부터 벗어나지 않으면서 실행될 수 있다.

Claims (22)

  1. 측정 시스템에 있어서,
    시료(specimen) 상에 배치된 하나 이상의 계측 타겟에 제1 양(amount)의 조명 광을 공급하도록 구성된 조명 소스;
    상기 하나 이상의 계측 타겟의 조명 동안에 상기 하나 이상의 계측 타겟에 기상(vapor phase)으로 제1 충전재를 포함하는 제1 가스 흐름을 공급하도록 구성된 증기 주입 시스템 - 상기 제1 충전재의 일부분은 액상으로 상기 하나 이상의 계측 타겟 상에 응축되는 것이고, 상기 제1 충전재의 일부분은 상기 하나 이상의 계측 타겟의 하나 이상의 기하학적인 구조적 피처 사이의 공간의 적어도 일부분을 충전하는 것임 - ;
    상기 제1 양의 조명 광에 응답하여 상기 하나 이상의 계측 타겟으로부터 제1 양의 수집 광을 수신하고 상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하도록 구성된 검출기; 및
    컴퓨팅 시스템을 포함하고,
    상기 컴퓨팅 시스템은,
    상기 제1 세트의 측정 신호 및 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타겟의 공극률을 나타내는 값을 추정하고,
    상기 제1 세트의 측정 신호 및 상기 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타겟의 임계 치수의 값을 추정하도록
    구성된 것인, 측정 시스템.
  2. 삭제
  3. 제1항에 있어서,
    상기 조명 소스는 또한, 상기 시료 상에 배치된 상기 하나 이상의 계측 타겟에 제2 양의 조명 광을 공급하도록 구성된 것이고,
    상기 증기 주입 시스템은 또한, 상기 제2 양의 조명 광을 이용한 상기 하나 이상의 계측 타겟의 조명 동안에 상기 하나 이상의 계측 타겟에 제2 가스 흐름을 공급하도록 구성된 것이고,
    상기 검출기는 또한, 상기 제2 양의 조명 광에 응답하여 상기 하나 이상의 계측 타겟으로부터 제2 양의 수집 광을 수신하고 상기 제2 양의 수집 광을 나타내는 제2 세트의 측정 신호를 생성하도록 구성된 것이고,
    상기 컴퓨팅 시스템은 또한, 상기 제1 세트 및 제2 세트의 측정 신호와 멀티 타겟 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타겟의 공극률을 나타내는 값 및 상기 하나 이상의 계측 타겟의 임계 치수의 값을 추정하도록 구성된 것인, 측정 시스템.
  4. 제3항에 있어서,
    상기 제1 가스 흐름은 제1 부분 압력으로 제1 충전재를 포함하고, 상기 제2 가스 흐름은 제2 부분 압력으로 제1 충전재를 포함하는 것인, 측정 시스템.
  5. 제4항에 있어서,
    상기 제1 충전재의 상기 제2 부분 압력은 0(zero)인 것인, 측정 시스템.
  6. 제3항에 있어서,
    상기 제1 가스 흐름은 제1 충전재를 포함하고, 상기 제2 가스 흐름은 제2 충전재를 포함하는 것인, 측정 시스템.
  7. 제1항에 있어서,
    상기 시료 온도는 상기 제1 가스 흐름에 기화된 상기 제1 충전재의 온도와 동일한 온도인 것인, 측정 시스템.
  8. 제1항에 있어서,
    상기 증기 주입 시스템은 제1 흐름의 불포화 퍼지(purge) 가스를, 기상(vapor phase)으로 제1 충전재로 포화된 제2 흐름의 퍼지 가스와 혼합하여 상기 제1 가스 흐름을 공급하는 것인, 측정 시스템.
  9. 제1항에 있어서,
    상기 증기 주입 시스템은, 불포화 퍼지 가스 흐름과 기상으로 상기 제1 충전재로 포화된 퍼지 가스 흐름의 비율을 변경함으로써, 상기 제1 가스 흐름의 제1 충전재의 부분 압력을 조절하는 것인, 측정 시스템.
  10. 제1항에 있어서,
    상기 증기 주입 시스템은 액상으로 상기 제1 충전재를 포함하는 버블러(bubbler)를 포함하고, 상기 액상 충전재의 일부분은 제2 흐름의 퍼지 가스로 기화하여, 기상으로 상기 제1 충전재로 상기 제2 흐름의 퍼지 가스를 포화시키는 것인, 측정 시스템.
  11. 제1항에 있어서,
    상기 충전재는 물, 에탄올, 톨루엔, 이소프로필 알코올, 메탄올, 및 벤젠 중 임의의 것인, 측정 시스템.
  12. 제1항에 있어서,
    상기 제1 충전재는 상기 제1 양의 조명 광에 응답하여 형광 발광을 나타내는 것인, 측정 시스템.
  13. 제1항에 있어서,
    상기 공극률 및 상기 임계 치수의 값을 추정하는 것은 모델 기반 회귀(regression), 모델 기반 라이브러리 검색, 모델 기반 라이브러리 회귀, 이미지 기반 분석, 및 신호 응답 계측 모델 중 임의의 것을 포함하는 것인, 측정 시스템.
  14. 제1항에 있어서,
    상기 측정 시스템은 분광 편광 해석기(spectroscopic ellipsometer), 분광 반사계(spectroscopic reflectometer), 각 분해(angle resolved) 반사계, 암시야 검사 시스템, 명시야 검사 시스템, 및 이미징 오버레이 측정 시스템 중 임의의 것으로서 구성되는 것인, 측정 시스템.
  15. 측정 시스템이 있어서,
    시료 상에 배치된 하나 이상의 구조적 요소에 제1 양(amount)의 조명 광을 공급하도록 구성된 조명 소스;
    증기 주입 시스템으로서,
    제1 흐름의 퍼지 가스의 흐름 속도를 조절하는 제1 질량(mass) 흐름 제어기,
    제2 흐름의 퍼지 가스의 흐름 속도를 조절하는 제2 질량 흐름 제어기, 및
    액상으로 제1 충전재를 포함하는 버블러 - 상기 제2 흐름의 퍼지 가스는 상기 버블러를 통과하고 상기 액상 충전재의 일부분은 상기 제2 흐름의 퍼지 가스로 기화하여 기상으로 상기 제1 충전재로 상기 제2 흐름의 퍼지 가스를 포화시키는 것이고, 상기 제1 흐름의 퍼지 가스 및 상기 제1 충전재로 포화된 상기 제2 흐름의 퍼지 가스는 결합되어 상기 하나 이상의 구조적 요소의 조명 동안에 상기 시료 상에 배치된 상기 하나 이상의 구조적 요소에 공급되는 가스 흐름을 형성하는 것임 - 를 포함하는, 상기 증기 주입 시스템;
    상기 제1 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제1 양의 수집 광을 수신하고 상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하는 검출기; 및
    상기 제1 세트의 측정 신호에 적어도 부분적으로 기초하여 상기 하나 이상의 계측 타겟의 공극률을 나타내는 값을 추정하도록 구성된 컴퓨팅 시스템을 포함하는, 측정 시스템.
  16. 제15항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 제1 질량 흐름 제어기가 상기 제1 흐름의 퍼지 가스의 흐름 속도를 조절하도록 하는 상기 제1 질량 흐름 제어기로의 제1 명령 신호를 전달하고;
    상기 제1 흐름의 퍼지 가스의 흐름 속도와 상기 제2 흐름의 퍼지 가스의 흐름 속도의 비율이 상기 가스 흐름의 상기 제1 충전재의 원하는 부분 압력을 달성하도록, 상기 제2 질량 흐름 제어기가 상기 제2 흐름의 퍼지 가스의 흐름 속도를 조절하도록 하는 상기 제2 질량 흐름 제어기로의 제2 명령 신호를 전달하도록 구성된 것인, 측정 시스템.
  17. 제15항에 있어서,
    상기 하나 이상의 구조적 요소는 다공성 평면 막을 포함하는 것인, 측정 시스템.
  18. 측정 방법에 있어서,
    시료 상에 배치된 하나 이상의 구조적 요소에 제1 양(amount)의 조명 광을 공급하는 단계;
    상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 기상으로 제1 충전재를 포함하는 제1 가스 흐름을 공급하는 단계 - 상기 제1 충전재의 일부분은 액상으로 상기 하나 이상의 구조적 요소 상에 응축되는 것이고, 상기 제1 충전재의 일부분은 상기 하나 이상의 구조적 요소의 하나 이상의 기하학적인 구조적 피처 사이의 공간의 적어도 일부분을 충전하는 것임 - ;
    상기 제1 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제1 양의 수집 광을 검출하는 단계;
    상기 제1 양의 수집 광을 나타내는 제1 세트의 측정 신호를 생성하는 단계;
    상기 제1 세트의 측정 신호 및 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 공극률을 나타내는 값을 추정하는 단계; 및
    상기 제1 세트의 측정 신호 및 상기 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 임계 치수의 값을 추정하는 단계
    를 포함하는, 측정 방법.
  19. 삭제
  20. 제18항에 있어서,
    상기 시료 상에 배치된 상기 하나 이상의 구조적 요소에 제2 양의 조명 광을 공급하는 단계;
    상기 제2 양의 조명 광을 이용한 상기 하나 이상의 구조적 요소의 조명 동안에 상기 하나 이상의 구조적 요소에 제2 가스 흐름을 공급하는 단계;
    상기 제2 양의 조명 광에 응답하여 상기 하나 이상의 구조적 요소로부터 제2 양의 수집 광을 검출하는 단계;
    상기 제2 양의 수집 광을 나타내는 제2 세트의 측정 신호를 생성하는 단계; 및
    상기 제1 세트 및 제2 세트의 측정 신호와 멀티 타겟 측정 모델에 적어도 부분적으로 기초하여 상기 하나 이상의 구조적 요소의 공극률을 나타내는 값 및 상기 하나 이상의 구조적 요소의 임계 치수의 값을 추정하는 단계
    를 더 포함하는 것인, 측정 방법.
  21. 제18항에 있어서,
    상기 제1 가스 흐름을 공급하는 단계는, 제1 흐름의 불포화 퍼지 가스를, 기상으로 제1 충전재로 포화된 제2 흐름의 퍼지 가스와 혼합하는 단계를 포함하는 것인, 측정 방법.
  22. 제18항에 있어서,
    상기 하나 이상의 구조적 요소는 다공성 평면 막을 포함하는 것인, 측정 방법.
KR1020187034542A 2016-05-02 2017-04-29 반도체 구조들의 공극률 측정 KR102254065B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 2016-07-07
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
US201762441887P 2017-01-03 2017-01-03
US62/441,887 2017-01-03
US15/582,331 2017-04-28
US15/582,331 US10041873B2 (en) 2016-05-02 2017-04-28 Porosity measurement of semiconductor structures
PCT/US2017/030309 WO2017192411A1 (en) 2016-05-02 2017-04-29 Porosity measurement of semiconductor structures

Publications (2)

Publication Number Publication Date
KR20180132945A KR20180132945A (ko) 2018-12-12
KR102254065B1 true KR102254065B1 (ko) 2021-05-18

Family

ID=60157870

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187034542A KR102254065B1 (ko) 2016-05-02 2017-04-29 반도체 구조들의 공극률 측정

Country Status (7)

Country Link
US (1) US10041873B2 (ko)
JP (1) JP6876721B2 (ko)
KR (1) KR102254065B1 (ko)
CN (1) CN109155265B (ko)
DE (1) DE112017002295T5 (ko)
TW (1) TWI718294B (ko)
WO (2) WO2017192411A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10175160B1 (en) * 2016-05-25 2019-01-08 J.A. Woollam Co., Inc. Method to analyze spectroscopic ellipsometry or intensity data of porous samples utilizing the anisotropic bruggeman-effective medium theory
US9976902B1 (en) * 2016-05-25 2018-05-22 J.A. Woolam Co., Inc. Method to analyze spectroscopic ellipsometry data of porous samples utilizing the anisotropic Bruggeman-effective medium theory
US11513442B2 (en) 2017-09-27 2022-11-29 Asml Netherlands B.V. Method of determining control parameters of a device manufacturing process
US10490650B2 (en) * 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
US11231362B1 (en) 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
CN111207678B (zh) * 2020-01-13 2021-06-15 中国人民解放军国防科技大学 一种非旋转式薄膜厚度及折射率测量方法
CN111207677B (zh) * 2020-01-13 2021-05-07 中国人民解放军国防科技大学 一种介质薄膜厚度及折射率的测量方法
US12013355B2 (en) 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
DE112021007982T5 (de) 2021-07-16 2024-05-02 Microchip Technology Incorporated Techniken zum steuern des dampfdrucks von gegenstandsmaterialien in dampfzellen und entsprechende verfahren
WO2023086119A1 (en) 2021-11-11 2023-05-19 Microchip Technology Incorporated Vapor cells and related systems and methods

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002523773A (ja) * 1998-08-28 2002-07-30 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ 間隙率を求める装置及び方法
US20110019207A1 (en) 2009-07-20 2011-01-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for optical characterisation

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568565A (en) 1984-05-14 1986-02-04 Allied Corporation Light induced chemical vapor deposition of conductive titanium silicide films
US5399379A (en) 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US20030000921A1 (en) 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6684172B1 (en) 2001-12-27 2004-01-27 Advanced Micro Devices, Inc. Sensor to predict void free films using various grating structures and characterize fill performance
WO2003058699A1 (en) 2001-12-31 2003-07-17 Tokyo Electron Limited Method of fault detection for material process system
US6986280B2 (en) 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP3693972B2 (ja) 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
US7102132B2 (en) 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US7138640B1 (en) 2002-10-17 2006-11-21 Kla-Tencor Technologies, Corporation Method and apparatus for protecting surfaces of optical components
JP2004253424A (ja) * 2003-02-18 2004-09-09 Sony Corp 多孔質半導体層製造方法及び多孔質半導体層製造装置
WO2006038472A1 (ja) 2004-10-06 2006-04-13 Ebara Corporation 基板処理装置及び基板処理方法
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4528279B2 (ja) * 2005-05-12 2010-08-18 アイメック 多孔性材料の親水性の定量化のための方法
FR2886015B1 (fr) 2005-05-18 2007-07-13 Commissariat Energie Atomique Procede de mesure de la porosite par ellipsometrie et dispositif mettant en oeuvre un tel procede
US7372559B2 (en) * 2005-12-14 2008-05-13 Kla-Tencor Technologies Corp. Systems and methods for inspecting a wafer with increased sensitivity
JP4599342B2 (ja) 2005-12-27 2010-12-15 エーエスエムエル ネザーランズ ビー.ブイ. 光学装置、リソグラフィ装置、および、デバイス製造方法
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7617715B2 (en) 2006-12-21 2009-11-17 The Boeing Company Reference standard for ultrasonic measurement of porosity and related method
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7627392B2 (en) 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
JP2010016279A (ja) 2008-07-07 2010-01-21 Dainippon Screen Mfg Co Ltd 基板測定装置
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20100235114A1 (en) 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
KR101306986B1 (ko) 2010-03-09 2013-09-26 한국전자통신연구원 박막 형성 장치
US8575576B2 (en) * 2011-02-14 2013-11-05 Kla-Tencor Corporation Optical imaging system with laser droplet plasma illuminator
US8378292B1 (en) 2011-07-28 2013-02-19 Sondex Wireline Limited Neutron porosity measurement devices with semiconductor neutron detection cells and methods
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20150098084A1 (en) * 2012-05-09 2015-04-09 Sio2 Medical Products, Inc. Inspection methods for pecvd coatings
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
JP6260125B2 (ja) * 2013-07-08 2018-01-17 富士通株式会社 分析装置、分析方法、成膜装置及び成膜方法
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9245722B2 (en) * 2013-09-16 2016-01-26 Georgia Tech Research Corporation SMS probe and SEM imaging system and methods of use
US9466464B1 (en) 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002523773A (ja) * 1998-08-28 2002-07-30 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ 間隙率を求める装置及び方法
US20110019207A1 (en) 2009-07-20 2011-01-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for optical characterisation

Also Published As

Publication number Publication date
WO2017192411A1 (en) 2017-11-09
US20170315044A1 (en) 2017-11-02
TWI718294B (zh) 2021-02-11
CN109155265B (zh) 2020-03-27
KR20180132945A (ko) 2018-12-12
US10041873B2 (en) 2018-08-07
JP6876721B2 (ja) 2021-05-26
DE112017002295T5 (de) 2019-02-14
TW201802980A (zh) 2018-01-16
WO2017192408A1 (en) 2017-11-09
JP2019522352A (ja) 2019-08-08
CN109155265A (zh) 2019-01-04

Similar Documents

Publication Publication Date Title
KR102254065B1 (ko) 반도체 구조들의 공극률 측정
KR102221069B1 (ko) 가스 흡착을 이용한 임계 치수 측정
TWI720192B (zh) 以毛細管冷凝法量測半導體結構
US10502549B2 (en) Model-based single parameter measurement
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
TWI641828B (zh) 特徵化半導體晶圓上之所關注結構之方法及半導體度量衡系統
CN110603435A (zh) 利用x射线散射测量术对深层结构进行工艺监测
JP2023168422A (ja) 高アスペクト比構造の測定のための中赤外分光法及びシステム
US10365225B1 (en) Multi-location metrology
KR101890784B1 (ko) 검사 방법과 장치 및 리소그래피 장치
KR102219787B1 (ko) 모세관 응축을 이용한 반도체 구조의 측정
US20230258585A1 (en) Semiconductor Measurements With Robust In-Line Tool Matching

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant