DE112017002291T5 - Messung der kritischen dimension mittels gasförmiger adsorption - Google Patents

Messung der kritischen dimension mittels gasförmiger adsorption Download PDF

Info

Publication number
DE112017002291T5
DE112017002291T5 DE112017002291.6T DE112017002291T DE112017002291T5 DE 112017002291 T5 DE112017002291 T5 DE 112017002291T5 DE 112017002291 T DE112017002291 T DE 112017002291T DE 112017002291 T5 DE112017002291 T5 DE 112017002291T5
Authority
DE
Germany
Prior art keywords
measurement
metrology targets
metrology
filler
measuring system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112017002291.6T
Other languages
English (en)
Inventor
Shankar Krishnan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112017002291T5 publication Critical patent/DE112017002291T5/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/22Measuring arrangements characterised by the use of optical techniques for measuring depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/088Investigating volume, surface area, size or distribution of pores; Porosimetry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Dispersion Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

Verfahren und Systeme zur Durchführung optischer Messungen von geometrischen Strukturen werden hierin vorgestellt, die mit einem Adsorbat durch einen gasförmigen Adsorptionsprozess gefüllt sind. Messungen werden durchgeführt, während das zu vermessende Metrologieziel mit einem Spülgasstrom behandelt wird, der eine kontrollierte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials adsorbiert auf den zu vermessenden Strukturen und füllt Öffnungen in den Strukturmerkmalen, Räumen zwischen Strukturmerkmalen, kleine Volumina, wie beispielsweise Kerben, Gräben, Schlitze, Kontaktlöcher, etc., aus. Gemäß einer Ausführungsform wird der gewünschte Sättigungsgrad des verdampften Materials in der gasförmigen Strömung auf Basis der maximal zu befüllenden Größe des Merkmals eingestellt. In einem Beispiel werden Messdaten gesammelt, wenn eine Struktur ungefüllt und wenn die Struktur durch die gasförmige Adsorption gefüllt ist. Die gesammelten Daten werden in einem Multi-Ziel-Modell kombiniert, um Parameterkorrelationen zu reduzieren und die Messleistung zu verbessern.

Description

  • Bezugnahme auf verwandte Anmeldungen
  • Die vorliegende Anmeldung beansprucht die Priorität unter 35 USC §119 von der provisorischen US-Patentanmeldung mit der U.S. Anmeldenummer 62/330,751 , mit dem Titel „Porosity and Critical Dimension Measurements Using Capillary Condensation“, die am 02. Mai 2016 angemeldet wurde und deren Offenbarung durch Bezugnahme in ihrer Gesamtheit hierin aufgenommen ist.
  • Technisches Gebiet
  • Die beschriebenen Ausführungsformen beziehen sich auf Metrologiesysteme und -verfahren und insbesondere auf Verfahren und Systeme für die verbesserte Messung von Strukturen, die in der Halbleiterindustrie hergestellt werden.
  • Hintergrund Informationen
  • Halbleiterbauelemente, wie beispielsweise Logik- und Speicherelemente, werden typischerweise durch eine Abfolge von Verarbeitungsschritten, die auf die Probe angewendet werden, hergestellt. Die verschiedenen Merkmale und strukturellen Ebenen der Halbleiterbauelemente werden durch diese Verarbeitungsschritte gebildet. Zum Beispiel ist die Lithographie, unter anderen Prozessschritten, ein Halbleiterherstellungsprozess, der auf einem Halbleiterwafer die Erzeugung eines Musters (Struktur) umfasst. Weitere Beispiele für Halbleiterherstellungsprozesse umfassen, sind jedoch nicht darauf beschränkt, chemisch-mechanisches Polieren, Ätzen, Abscheidung und Ionenimplantation. Mehrere Halbleiterbauelemente können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiterbauelemente getrennt werden.
  • Metrologieverfahren werden bei verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Defekte auf den Wafern zu detektieren und eine höhere Ausbeute zu fördern. Modellbasierte Mess-/Metrologietechniken bieten das Potenzial für einen hohen Durchsatz ohne das Risiko einer Zerstörung der Probe. Eine Vielzahl von modellbasierten Metrologie-Techniken, dazu gehören die Scatterometrie, die Ellipsometrie und Implementierungen der Reflektometrie und zugehörige Analysealgorithmen, werden häufig zur Charakterisierung von kritischen Abmessungen, Schichtdicken, der Zusammensetzung, des Overlays und anderer Parameter von Strukturen im Nanomaßstab verwendet.
  • Moderne Halbleiterprozesse werden zur Erzeugung komplexer Strukturen eingesetzt. Ein komplexes Messmodell mit mehreren Parametern ist erforderlich, um diese Strukturen zu repräsentieren und die Prozess- und Maßabweichungen zu berücksichtigen. Komplexe, Mehrparametermodelle umfassen Modellfehler, die durch Parameterkorrelationen und eine geringe Messempfindlichkeit für einige Parameter induziert werden. Zusätzlich weist die Regression von komplexen, Mehrparametermodellen eine relativ große Anzahl von unbestimmten Parameterwerten auf, die rechentechnisch nicht verfolgbar sein können.
  • Um die Wirkung dieser Fehlerquellen und den Rechenaufwand zu reduzieren, wird typischerweise eine Anzahl von Parametern in einer modellbasierten Messung festgelegt. Obwohl die Festlegung der Werte einer Vielzahl von Parametern die Rechengeschwindigkeit verbessern und die Auswirkungen von Parameterkorrelationen reduzieren kann, führt dies auch zu Fehlern in den Schätzungen der Parameterwerte.
  • Derzeit erfordert die Lösung von komplexen Mehrparametermessmodellen oft einen unbefriedigenden Kompromiss. Aktuelle Techniken zur Modellreduktion sind manchmal nicht in der Lage, zu einem Messmodell zu gelangen, das sowohl rechentechnisch verfolgbar als auch ausreichend genau ist. Darüber hinaus machen es komplexe Mehrparametermodelle schwierig oder unmöglich, die Auswahl von Systemparametern (wie beispielsweise Wellenlängen, Einfallswinkel, etc.) für jeden Parameter von Interesse zu optimieren.
  • Zukünftige Mess-/Metrologieanwendungen zeigen Herausforderungen auf, die aufgrund der immer kleiner werdenden Auflösungsanforderungen, der Korrelationen der mehreren Parameter, zunehmend komplexer geometrischer Strukturen und der zunehmenden Verwendung von opaken Materialien bedingt sind. Somit sind Verfahren und Systeme für verbesserte Messungen erwünscht.
  • Zusammenfassung
  • Verfahren und Systeme zum Durchführen von optischen Messungen geometrischer Strukturen, die mit einem Adsorbat durch gasförmige Adsorption gefüllt sind, werden hierin präsentiert. Messungen werden durchgeführt, während die lokale Umgebung um das zu messende Metrologieziel mit einem Strom von Spülgas behandelt wird, der eine geregelte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials (das heißt das Adsorbat) wird auf den zu vermessenden Strukturen adsorbiert (das heißt den Adsorptionsstrukturen) und füllt Öffnungen in den strukturellen Merkmalen, dem Abstand zwischen strukturellen Merkmalen, kleinen Volumina wie Kerben, Gräben, Schlitze, Kontaktlöcher, und so weiter.
  • Gemäß einer Ausführungsform der Erfindung kann der Sättigungsgrad des verdampften Materials in der gasförmigen Strömung zu den zu vermessenden Strukturen auf Basis der maximalen, zu füllenden Strukturgröße durch gasförmige Adsorption eingestellt werden.
  • In einer weiteren Ausführungsform werden modellbasierte Messungen mit einem Datensatz durchgeführt, der Messsignale umfasst, die von einem Metrologieziel (Messobjekt) gesammelt werden, das geometrische Merkmale aufweist, die mit einem Adsorbat gefüllt sind. Das Vorhandensein des Adsorbats verändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält.
  • In einigen Ausführungsbeispielen werden mehrere Messungen des Metrologieziels für verschiedene Adsorptionszustände durchgeführt. Jede Messung entspricht einer unterschiedlichen Adsorbatmenge, die an den zu messenden Strukturen adsorbiert ist. Durch Sammeln von Messsignalinformationen, die mit einem Metrologieziel verknüpft sind, das geometrische Merkmale aufweist, die mit unterschiedlichen Mengen an Adsorbat gefüllt sind, wird die Parameterkorrelation zwischen den unbestimmten Messparametern verringert und die Messgenauigkeit verbessert.
  • In einigen Ausführungsbeispielen werden Messdaten gesammelt, wenn eine Struktur durch die gasförmige Adsorption gefüllt ist, und Messdaten werden von der gleichen Struktur gesammelt, wenn die Struktur nicht gefüllt ist (das heißt nicht der gasförmigen Adsorption unterliegt). Die gesammelten Daten werden in einer auf einem Multi-Ziel-Messmodell basierenden Messung kombiniert, um die Leistung der Messung zu verbessern.
  • In einigen Ausführungsformen kann die Menge an verdampften Füllmaterial in einer gasförmigen Strömung zu den der Messung unterliegenden Strukturen geregelt werden. Dies erfolgt durch Steuern des Dampfdrucks des Füllmaterials in der gasförmigen Strömung. In einigen Ausführungsformen wird ein Spülgas durch ein Flüssigkeitsbad aus Füllmaterial durchgeperlt (unter Blasenbildung durchströmt). Der Partialdruck des in der Spülgasströmung verdampften Füllmaterials ist gleich dem Gleichgewichtsdruck des Füllmaterials über dem Flüssigkeitsbad des Füllmaterials. Der Grad der Sättigung des verdampften Füllmaterials auf dem Wafer wird gesteuert, indem die Temperatur des Flüssigkeitsbades unter der Wafertemperatur um eine gewünschte Menge gehalten wird.
  • In einigen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials an dem Wafer durch Zugabe eines nichtflüchtigen gelösten Stoffes in einem Flüssigkeitsbad aus Füllmaterial gesteuert, das den Gleichgewichtsdampfdruck des Füllmaterials unterdrückt. In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials durch Steuern der Konzentration des gelösten Stoffes in Lösung reguliert.
  • Das Vorangegangene ist eine Zusammenfassung und enthält somit notwendigerweise Vereinfachungen, Verallgemeinerungen und Auslassungen von Einzelheiten; folglich wird der Fachmann erkennen, dass die Zusammenfassung nur veranschaulichend ist und in keiner Weise einschränkend ist. Andere Aspekte, erfinderische Merkmale und Vorteile der hierin beschriebenen Vorrichtungen und / oder Prozesse werden in der hier nicht beschränkenden detaillierten Beschreibung ersichtlich.
  • Figurenliste
    • 1 ist eine Zeichnung, die ein System 100 zum Messen von Strukturen eines Halbleiter-Wafers unter gasförmiger Adsorption darstellt.
    • 2 ist eine Zeichnung einer Ausführungsform eines Dampfinjektionssystems 120 des Systems 100.
    • 3 zeigt eine Tabelle 127, einschließlich der Verdampfungsenthalpie, ΔH für Wasser, Toluol und Ethanol. Zusätzlich veranschaulicht Tabelle 127 den Unterschied zwischen einer Wafertemperatur und einer Temperatur eines Bads von flüssigem Füllmaterial, um eine relative Sättigung des Füllmaterials von 0,9 auf dem Wafer zu erzielen.
    • 4 stellt ein Diagramm 128 des Partialdrucks von Wasser als Funktion der Konzentration von Salzsäure im Wasserbad dar.
    • 5 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung in Verbindung mit Wasser, Toluol und Ethanol veranschaulicht.
    • 6 zeigt ein Diagramm 172, das den maximalen Durchmesser eines zylindrischen Lochs zeigt, das durch Adsorption bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann.
    • 7 zeigt ein Diagramm 160, das den maximalen Durchmesser eines langen, grabenartigen Merkmals darstellt, das durch Adsorption bei unterschiedlichen Partialdrücken in Übereinstimmung mit der Kelvin-Gleichung für Wasser, Ethanol und Toluol als Füllmaterialien gefüllt werden kann.
    • 8 veranschaulicht ein ungefülltes Metrologieziel aus Linien und Abständen, das eine periodische, zweidimensionale, Resist-Gitterstruktur ist, die auf einem Substrat hergestellt wurde.
    • 9 zeigt das Metrologieziel aus Linien und Abständen aus 8, das mit einem Füllmaterial gefüllt ist.
    • 10A veranschaulicht ein ungefülltes Metrologieziel, das mehrere Schichten umfasst und einschließlich einer obersten Schicht, die ein zylindrisches Kontaktloch aufweist.
    • 10B veranschaulicht das Metrologieziel aus 10A, wobei das zylindrische Kontaktloch mit einem Füllmaterial gefüllt ist.
    • 11 zeigt einen Vergleich von Messergebnissen, die ohne dem Füllen der Formen erreicht wurden und von Messergebnissen, die mit einem Mehrziel-Modell erreicht wurden unter Verwendung von gesammelten Daten mit und ohne Formfüllung für eine Vielzahl von Parametern des Metrologieziels aus 10 A.
    • 12 veranschaulicht ein Verfahren 200 zum Durchführen von Messungen von Strukturen, die in einem Beispiel der gasförmigen Adsorption unterliegen.
    • 13 zeigt ein Verfahren 300 zum Durchführen von Messungen von Strukturen, die in einem anderen Beispiel der gasförmigen Adsorption unterliegen.
  • Detaillierte Beschreibung
  • Es wird nun im Detail auf Beispiele des Standes der Technik und einige Ausführungsform der Erfindung Bezug genommen, von denen Beispiele in den beigefügten Zeichnungen dargestellt sind.
  • Verfahren und Systeme zum Durchführen von optischen Messungen geometrischer Strukturen, die mit einem Adsorbat durch gasförmige Adsorption gefüllt sind, werden hierin präsentiert. Modellbasierte Messungen werden mit einem angereicherten Datensatz durchgeführt, der auch Messsignale umfasst, die von einem Metrologieziel (Messziel) von mit einem Adsorbat gefüllten geometrischen Merkmalen stammen. Dies reduziert die Parameterkorrelation unter unbestimmten Messparametern und verbessert die Messgenauigkeit. Somit können hinreichend genaue modellbasierte Messergebnisse erhalten werden, und dies oft mit reduziertem Rechenaufwand.
  • Messungen werden durchgeführt, während die lokale Umgebung um das zu vermessende Metrologieziel mit einem Strom von Spülgas behandelt wird, der eine geregelte Menge an Füllmaterial enthält. Ein Teil des Füllmaterials (das heißt das Adsorbat) wird auf den zu vermessenden Strukturen adsorbiert (das heißt den Adsorptionsstrukturen) und füllt Öffnungen in den strukturellen Merkmalen, Öffnungen zwischen strukturellen Merkmalen, etc. Das Vorhandensein des Adsorbats ändert die optischen Eigenschaften der zu vermessenden Struktur im Vergleich zu einem Messszenario, bei dem das Spülgas kein Füllmaterial enthält. In einigen Beispielen werden mehrere Messungen des Messzieles bei verschiedenen Zuständen der Adsorption durchgeführt. Mit anderen Worten entspricht jede Messung einer unterschiedlichen Menge an Adsorbat, die auf den zu vermessenden Strukturen adsorbiert ist. Durch das Sammeln von Messsignalinformation, die mit einem Metrologieziel verbunden ist, das mit unterschiedlichen Mengen an Adsorbat gefüllte geometrische Merkmale besitzt, werden modellbasierte Messungen mit einer angereicherten Menge von Messdaten durchgeführt.
  • In einem Beispiel werden Messdaten gesammelt, wenn eine Struktur ungefüllt ist, und zusätzliche Messdaten werden gesammelt, wenn die gleiche Struktur durch gasförmige Adsorption gefüllt ist. Die gesammelten Daten werden in einer auf einem Multi-Ziel-Messmodell basierenden Messung kombiniert, um den Wert eines oder mehrerer Parameter von Interesse mit reduzierter Parameterkorrelation und verbesserter Messleistung abzuschätzen.
  • 1 stellt ein System 100 zur Messung von Eigenschaften eines Halbleiterwafers dar. Wie in 1 gezeigt, kann das System 100 verwendet werden, um spektroskopische Ellipsometrie-Messungen von einer oder mehreren auf einem Halbleiterwafer 112 angeordneten Strukturen 114 durchzuführen, der sich auf einem Wafer-Positioniersystem 110 befindet. Gemäß dieser Ausführungsform kann das System 100 kann ein spektroskopisches Ellipsometer 101 umfassen, das mit einem Beleuchtungsgerät 102 und einem Spektrometer 104 ausgestattet ist. Das Beleuchtungsgerät 102 des Systems 100 ist derart konfiguriert, dass es eine Beleuchtung eines ausgewählten Wellenlängenbereichs (beispielsweise 100 - 2500 nm) auf die auf der Oberfläche des Halbleiterwafers 112 angeordnete Struktur 114 richtet. Ebenso ist das Spektrometer 104 derart konfiguriert, dass es Licht von der Oberfläche des Halbleiterwafers 112 empfängt. Es wird weiter angemerkt, dass das von dem Beleuchtungsgerät 102 ausgehende Licht polarisiert ist und einen Generator 107 zur Erzeugung eines Polarisationszustands eines polarisierten Beleuchtungsstrahls 106 verwendet. Die von der auf dem Wafer 112 angeordneten Struktur 114 reflektierte Strahlung wird durch einen Analysator 109 für den Polarisationszustand und das Spektrometer 104 geleitet. Die Strahlung, die von dem Spektrometer 104 mit dem Sammelstrahl 108 empfangen wird, wird in Bezug auf den Polarisationszustand analysiert, um eine Spektralanalyse der durch den Analysator geleiteten Strahlung zu ermöglichen. Die erfassten Spektren 111 werden dem Computersystem 116 zur Analyse der Struktur 114 übergeben.
  • Das Computersystem 130 ist derart konfiguriert, dass es mit einer Messung verbundene Messdaten 111 (wie beispielsweise der kritischen Dimension, der Schichtdicke, der Zusammensetzung, des Prozesses, etc.) der durch gasförmige Adsorption gefüllten Struktur 114 der Probe 112 empfängt. Gemäß einem Beispiel umfassen die Messdaten 111 eine Angabe (Indiz) der mit dem Messsystem 100 gemessenen spektralen Antwort der Probe, basierend auf dem einen oder den mehreren Prozessen der Probenahme des Spektrometers 104. In einigen Ausführungsformen ist das Computersystem 130 ferner derart konfiguriert, dass es Probenparameterwerte der Struktur 114 aus den Messdaten 111 bestimmt. Gemäß einem Beispiel ist das Computersystem 130 derart konfiguriert, dass es unter Verwendung von „Real Time Critical Dimensioning“ (RTCD) auf Modellparameter in Echtzeit zugreift, oder es kann auf Bibliotheken von vorab berechneten Modellen zugreifen, um einen Wert von mindestens einem mit der Zielstruktur 114 verbundenen Parameter von Interesse zu bestimmen. In einigen Ausführungsformen werden die abgeschätzten Werte des einen oder der mehreren Parameter von Interesse in einem Speicher abgelegt (wie beispielsweise Speicher 132). In der in 1 dargestellten Ausführungsform werden die abgeschätzten Werte 115 des einen oder der mehreren Parameter von Interesse an ein externes System (nicht dargestellt) übermittelt.
  • Im Allgemeinen ist die Ellipsometrie eine indirekte Methode zur Messung physikalischer Eigenschaften der zu vermessenden Probe. In den meisten Fällen können die Roh-Messsignale (wie beispielsweise αmeas und βmeas) nicht direkt verwendet werden, um die physikalischen Eigenschaften der Probe zu bestimmen. Das nominale Messverfahren umfasst die Parametrisierung der Struktur (wie beispielsweise Schichtdicken, kritische Dimensionen, Materialeigenschaften, etc.) und der Maschine (wie beispielsweise Wellenlängen, Einfallswinkel, Polarisationswinkel, etc.). Ein Messmodell wird erstellt, das versucht, die Messwerte (wie beispielsweise αmeas und βmeas) vorherzusagen. Wie in den Gleichungen (1) und (2) dargestellt, enthält das Modell der Maschine zugeordneten Parameter (PMaschine) und der Probe zugeordnete Parameter (PProbe). α M o d e l l = f ( P M a s c h i n e , P P r o b e )
    Figure DE112017002291T5_0001
    β M o d e l l = g ( P M a s c h i n e , P P r o b e )
    Figure DE112017002291T5_0002
  • Maschinenparameter sind Parameter, die zur Charakterisierung der Metrologiemaschine verwendet werden (wie beispielsweise Ellipsometer 101). Exemplarische Maschinenparameter umfassen den Einfallswinkel (AOI), den Analysatorwinkel (A0 ), den Polarisatorwinkel (P0 ), die Beleuchtungswellenlänge, die numerische Apertur (NA), den Kompensator oder die Verzögerungsplatte (falls vorhanden), usw. Probenparameter sind Parameter, die verwendet werden, um die Probe zu charakterisieren (wie beispielsweise Probe 112 mit Strukturen 114). Für eine dünne Schicht als Probe umfassen beispielhafte Probenparameter den Brechungsindex, den dielektrischen Funktionstensor, die nominale Schichtdicke aller Schichten, die Schichtabfolge, etc. Bei einer CD-Probe (kritische Dimension, im Englischen „critical dimension) umfassen beispielhafte Probenparameter geometrische Parameterwerte, die den verschiedenen Schichten zugeordnet sind, die Brechungsindizes, die den verschiedenen Schichten zugeordnet sind, usw. Für Messzwecke werden die Maschinenparameter als bekannte und feste Parameter behandelt, und einer oder mehrere der Probenparameter werden als unbekannte, unbestimmte Parameter behandelt.
  • In einigen Beispielen werden die unbestimmten Parameter durch ein iteratives Verfahren (wie beispielsweise Regression) gelöst, das die beste Übereinstimmung zwischen den theoretischen Vorhersagen und den experimentellen Daten erzeugt. Die unbekannten Probeparameter PProbe werden variiert und die Modellausgabewerte (wie beispielsweise αModell und βModell) werden berechnet, bis ein Satz von Probenparameterwerten bestimmt wird, der in einer engen Übereinstimmung zwischen den Modellausgabewerten und den experimentellen Messwerten (wie beispielsweise αmeas und βmeas) resultiert. In einer modellbasierten Messanwendung, wie beispielsweise der spektroskopischen Ellipsometrie auf einer CD-Probe, wird ein Regressionsverfahren (wie beispielsweise gewöhnliche Regression der kleinsten Quadrate) verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den Modellausgabewerten und den experimentell gemessen Werten für einen festen Satz von Werten der Maschinenparameter minimieren.
  • In einigen Beispielen werden die unbestimmten Parameter durch eine Suche über eine Bibliothek von vorab berechneten Lösungen aufgelöst, um die beste Übereinstimmung zu finden. In einer modellbasierten Messanwendung, wie beispielsweise die spektroskopische Ellipsometrie auf einer CD Probe, wird ein Suchverfahren in einer Bibliothek verwendet, um Probenparameterwerte zu identifizieren, die die Unterschiede zwischen den vorher berechneten Ausgabewerten und den experimentell gemessenen Werten für einen festen Satz von Werten der Maschinenparameter minimieren.
  • In einer modellbasierten Messanwendung sind oft vereinfachende Annahmen erforderlich, um einen ausreichenden Durchsatz zu erhalten. In einigen Beispielen muss die Trunkierungsordnung einer „Rigorous Coupled Wave Analysis“ (RCWA) reduziert werden, um die Rechenzeit zu minimieren. In einem anderen Beispiel wird die Anzahl oder die Komplexität der Bibliotheksfunktionen reduziert, um die Suchzeit zu minimieren. In einem weiteren Beispiel wird die Anzahl von unbestimmten Parametern reduziert, indem bestimmte Parameterwerte festgelegt werden. In einigen Beispielen führen diese vereinfachenden Annahmen zu nicht akzeptablen Fehlern bei der Schätzung der Werte eines oder mehrerer Parameter von Interesse (wie beispielsweise Parameter zur kritischen Dimension, Parameter zum Overlay, etc.). Bei der Ausführung von Messungen von Strukturen, die der gasförmigen Adsorption unterliegen, wie hierin beschrieben ist, kann das modellbasierte Messmodell mit reduzierten Parameterkorrelationen und einer erhöhten Messgenauigkeit gelöst werden.
  • Wie in 1 dargestellt, umfasst das Metrologiesystem 100 ein Dampfinjektionssystem 120, das derart konfiguriert ist, dass es eine gasförmige Strömung 126 zu der Struktur 114 hin während der Messung bereitstellt. In einer Ausführungsform enthält die gasförmige Strömung 126 ein Spülgas und ein Füllmaterial, das im Spülgas verdampft vorliegt. Wenn die gasförmige Strömung in Kontakt mit der Struktur 114 kommt, erfolgt eine Adsorption eines Teils des Füllmaterials (das heißt des Adsorbats) auf der zu vermessenden Struktur 114 (das heißt auf dem Adsorptionsmittel). Das Adsorbat füllt zumindest einen Teil eines Strukturelements oder mehrerer Strukturelemente der Struktur 114. Die Anwesenheit des Adsorbatss ändert die optischen Eigenschaften der zu messenden Struktur.
  • In einigen Ausführungsformen wird eine Messung durchgeführt, wenn der Spülgasstrom kein Füllmaterial enthält (wie beispielsweise reines Stickstoffgas oder saubere, trockene Luft), und eine weitere Messung wird durchgeführt, wenn der Spülgasstrom ein Füllmaterial enthält, so dass das Adsorbat vollständig die Öffnungen zwischen den zu vermessenden strukturellen Merkmalen füllt. Die aus diesen zwei Messungen gesammelten Messdaten werden an das Computersystem 130 übergeben und eine Abschätzung eines oder mehrerer Strukturparameter von Interesse wird auf Basis der beiden Sätze von Messdaten gemacht.
  • In einigen Ausführungsformen werden eine Reihe von Messungen unter verschiedenen Adsorptionsbedingungen durchgeführt, so dass das Ausmaß an Adsorption auf den zu vermessenden Strukturmerkmalen für jede Messung unterschiedlich ist. Die Messdaten der Messreihe werden gesammelt und an das Computersystem 130 kommuniziert, um eine Abschätzung eines oder mehrerer Strukturparameter von Interesse auf Basis der gesammelten Messdaten vorzunehmen.
  • Wie in 1 dargestellt, wird eine Menge an Füllmaterial 123 aus einer Quelle 121 für Füllmaterial zu dem Dampfinjektionssystem 120 transportiert. Darüber hinaus wird ein Strom von Spülgas 124 von einer Quelle 122 für Spülgas zu dem Dampfinjektionssystem transportiert. Das Dampfinjektionssystem 120 verursacht die Verdampfung des Füllmaterials in den Strom des Spülgases, um die gasförmige Strömung 126 zu erzeugen, die auf die zu vermessende Struktur 114 gerichtet ist. Bei der in 1 dargestellten Ausführungsform werden der Strom des Spülgases und die Menge des Füllmaterials, das in den Strom des Spülgases verdampft wird, durch Befehlssignale 125 gesteuert, die vom Computersystem 130 an das Dampfinjektionssystem 120 kommuniziert werden. Somit steuern die Befehlssignale 125 die gewünschte Zusammensetzung der gasförmigen Strömung 126. Wie in 1 dargestellt, passiert die gasförmige Strömung 126 durch die Düse 105, die die gasförmige Strömung 126 zu dem gewünschten Ort auf dem Wafer 110 mit den geeigneten Strömungseigenschaften lenkt.
  • Die Ausführungsformen des in 1 dargestellten Systems 100 können ferner wie hierin beschrieben konfiguriert sein. Zusätzlich kann das System 100 konfiguriert sein, um einen beliebigen anderen Block oder beliebige andere Blöcke einer beliebigen der hier beschriebenen Verfahrensausführungsform(en) auszuführen.
  • 2 ist eine Darstellung des Dampfinjektionssystems 120 gemäß einer Ausführungsform. In dieser Ausführungsform wird die Menge des Füllmaterials geregelt, das in der dem zu vermessenden Wafer 112 bereitgestellten gasförmigen Strömung 126 verdampft ist, indem der Dampfdruck des Füllmaterials geregelt wird. In der Ausführungsform aus 2 ist der Partialdruck des in den Strom von Spülgas 124 (wie beispielsweise Stickstoffgas, saubere und trockene Luft, etc.) verdampften Füllmaterials 123 gleich dem Gleichgewichtsdruck des Füllmaterials über einem Flüssigkeitsbad des Füllmaterials, durch das das Spülgas geperlt (unter Blasenbildung) wird. Gemäß einem Beispiel ist ein Dampfinjektionssystem nach dem Typ eines Blasdüsenrohrs ein Blasdüsenrohr aus Edelstahl mit einem Fassungsvermögen von 1,2 Litern mit der Modellbezeichnung Z553360, das kommerziell von Sigma-Aldrich, St. Louis, Missouri (USA) erhältlich ist.
  • Wie in 2 dargestellt, strömt der Strom des Spülgases 124 durch ein Dreiwegeventil 141. In einigen Ausführungsformen teilt das Dreiwegeventil 141 den Anteil 145 der Spülgasströmung 124, der durch das Blasdüsenrohr 140 fließt, mit dem Anteil 146, der nicht durch das Blasdüsenrohr 140 fließt, ab und abhängig von einer Position des Dreiwegeventils. Auf diese Weise wird die Menge des Stroms 124 des Spülgases, in den das Füllmaterial verdampft, durch das Dreiwegeventil 141 gesteuert. In der in 2 dargestellten Ausführungsform enthält ein Signal 125A einen Hinweis über die gewünschte Position des Dreiwegeventils 141. In Antwort darauf wird die gewünschte Position für das Dreiwegeventil 141 eingestellt und somit auch der gewünschte Anteil des Stroms des Spülgases, in das Füllmaterial verdampft wird. Der Anteil 145 des Stroms 124 des Spülgases verläuft durch ein Kontrollventil 142, einen Massendurchflussregler 143 und in das Blasdüsenrohr 140. Im Blasdüsenrohr 140 wird eine Menge des Füllmaterials in den Teil 145 des Stroms 124 des Spülgases verdampft, um eine gasförmige Strömung 147 des Spülgases und des Füllmaterials zu erzeugen. Die gasförmige Strömung 147 wird mit dem Teil 146 des Spülgases kombiniert, der nicht durch das Blasdüsenrohr 140 geflossen ist, um die gasförmige Strömung 126 zu erzeugen.
  • In einigen Ausführungsformen wird das Dreiwegeventil 141 derart gesteuert, dass der gesamte Spülgasstrom 124 entweder durch das Blasdüsenrohr 140 fließt oder das Blasdüsenrohr 140 vollständig umgeht und direkt auf den Wafer als gasförmige Strömung 126 basierend auf einer Position des Dreiwegeventils fließt. Auf diese Weise ist die gasförmige Strömung 126 entweder ein trockener Spülgasstrom 124 mit einem Partialdruck von Null bezüglich des Füllmaterials, oder der gesamte Spülgasstrom 124 unterliegt der Verdampfung von Füllmaterial abhängig von dem Zustand des Dreiwegeventils 141.
  • Wenn das Füllmaterial im Blasdüsenrohr 140 verdampft und als gasförmige Strömung 147 weggetragen ist, fließt zusätzliches Füllmaterial 123 von der Quelle 121 für das Füllmaterial in das Blasdüsenrohr 140, um einen konstanten Füllstand zu halten. In einigen Ausführungsformen wird der Füllstand automatisch auf Basis eines Niveau-Sensors und eines Durchflusssteuerungsschemas kontrolliert. In einigen anderen Ausführungsformen wird der Füllstand periodisch durch einen manuellen Füllvorgang beibehalten.
  • In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta durch kontrolliertes Halten des Flüssigkeitsbades bei einer Temperatur T unterhalb der Umgebungstemperatur geregelt. Das Beziehung zwischen dem Gleichgewichtsdampfdruck p0 einer reinen Substanz und der Temperatur T wird durch die Clausius-Clapyron-Gleichung bereitgestellt, die durch Gleichung (2) dargestellt ist, wobei ΔH die Verdampfungsenthalpie der reinen Substanz und R die ideale Gaskonstante ist, die 8,31 J/ Mol. °K beträgt. d  ln ( p 0 ) d 1 T = Δ H R
    Figure DE112017002291T5_0003
  • Ausgehend von Gleichung (1) lässt sich die relative Sättigung p/p0 für ein bei einer Temperatur T gesättigtes Füllmaterial durch die Gleichung (2) darstellen, wobei die Temperatur T kleiner als die Umgebungstemperatur Ta ist. ln p p 0 = Δ H R ( 1 T a 1 T )
    Figure DE112017002291T5_0004
  • 3 zeigt eine Tabelle 127 mit der Verdampfungsenthalpie ΔH für Wasser, Toluol und Ethanol. Jede dieser Substanzen kann als Füllmaterialien, wie hierin beschrieben, geeignet sein. Zusätzlich veranschaulicht die Tabelle 127 den Unterschied zwischen der Umgebungstemperatur (das heißt die Temperatur des Wafers) und der Badtemperatur, wenn die Umgebungstemperatur 25 Grad Celsius beträgt und die gewünschte relative Sättigung des Füllmaterials p/p0 0,9 beträgt. Wie in der Tabelle 127 veranschaulicht, kann durch das Beibehalten der Badtemperatur unterhalb der Umgebungstemperatur durch die dargestellten Mengen ein Partialdruck von 0,9 ist für jedes der aufgelisteten Füllmaterialien beibehalten werden. Es kann vorteilhaft sein, wenn jede dieser Substanzen als Füllmaterialien verwendet wird, weil es eine relativ einfache Angelegenheit ist, einen Temperaturunterschied von etwa zwei Grad Celsius zwischen dem Wafer und dem Flüssigkeitsbad des Blasdüsenrohrs 140 zu halten.
  • In einigen Ausführungsformen werden die Badtemperatur und die Temperatur des Wafers gemessen und an das Computersystem 130 kommuniziert. Das Computersystem bestimmt eine Differenz zwischen der Temperatur des Wafers und der Badtemperatur und berechnet eine gewünschte Temperatur des Wafers, eine Badtemperatur oder beides. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal 125B, das für eine gewünschte Badtemperatur des Dampfinjektionssystems 120 indikativ (bezeichnend) ist. Als Antwort passt das Dampfinjektionssystem 120 die Badtemperatur unter Verwendung einer lokalen Heiz- oder Kühleinheit (nicht gezeigt) auf den gewünschten Wert an. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal (nicht dargestellt), das einem Subsystem (nicht dargestellt) zur Konditionierung des Wafers eine gewünschte Temperatur des Wafers anzeigt. Als Reaktion stellt das Subsystem zur Konditionierung des Wafers die Temperatur des Wafers unter Verwendung einer Heiz- oder Kühleinheit (nicht gezeigt) für den Wafer auf den gewünschten Wert ein. In einigen Ausführungsformen erzeugt das Computersystem 130 ein Befehlssignal 113 (dargestellt in 1), das einem lokalen Heizelement 103 für den Wafer eine gewünschte Temperatur des Wafers anzeigt. Als Reaktion stellt das Heizeinheit 103 die Temperatur des Wafers lokal (das heißt in der unmittelbaren Nähe der Messstelle) auf den gewünschten Wert unter Verwendung eines Strahlungs-Heizelements ein.
  • In einigen Ausführungsform wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch ein Computersystem gesteuert, mit dem Dampfinjektionssystem 120 assoziiert ist. In diesem Sinne wird die Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Bad durch das Computersystem 130 durch ein nicht einschränkendes Beispiel bereitgestellt. Jede geeignete Steuerungsarchitektur und jedes geeignete Temperaturregelungsschema kann im Rahmen dieses Patentdokuments in Betracht gezogen werden.
  • Wie mit Bezug auf 2 beschrieben, wird die Menge an Füllmaterial, die dem zu messenden Wafer zur Verfügung gestellt wird, durch Regulieren des Teils 145 des Spülgasstroms 124, der der Verdampfung von Füllmaterial unterliegt, relativ zu dem Teil 146 des Spülgasstroms 124, der der Verdampfung von Füllmaterial nicht unterliegt, gesteuert. Zusätzlich wird der Grad der Sättigung des verdampften Füllmaterials bei der Wafertemperatur durch Regulieren der Differenz zwischen der Wafertemperatur und der Badtemperatur gesteuert.
  • In einer anderen Ausführungsform wird der Sättigungsgrad des verdampften Füllmaterials bei Umgebungstemperatur durch Zugabe eines nicht-flüchtigen gelösten Stoffes zu einem flüssigen Bad eines Lösungsmittels (das heißt das Füllmaterial) gesteuert, was den Gleichgewichtsdampfdruck des Lösungsmittels im Vergleich zum Gleichgewichtsdampfdruck des Lösungsmittels allein senkt. Gemäß einem Beispiel kann eine Lösung aus Wasser als Lösungsmittel und einem nicht-flüchtigen gelösten Stoff (wie beispielsweise Natriumchlorid, Salzsäure, etc.) gebildet werden. Die Lösung weist einen Dampfdruck von Wasser auf, der kleiner als der Gleichgewichtsdampfdruck von reinem Wasser ist. 4 stellt ein Diagramm 128 des Partialdrucks von Wasser als Funktion der Konzentration der Salzsäure in dem Bad aus Wasser dar. Ein ähnliches Ergebnis besteht für eine Lösung von in Wasser gelöstem Natriumchlorid. Beispielsweise ergibt eine Lösung von 6% Natriumchlorid in Wasser eine relative Feuchtigkeit p/p0 von 90%.
  • In diesen Ausführungsformen wird der Sättigungsgrad des verdampften Füllmaterials (das heißt das Lösungsmittel) geregelt, indem die Konzentration des gelösten Stoffes in der Lösung gesteuert wird. In einigen Ausführungsformen wird die Menge an Lösungsmittel in dem Bad gesteuert, um die gewünschte Konzentration und damit den erwünschten Partialdruck des verdampften Lösungsmittels aufrechtzuerhalten. In diesen Ausführungsformen ist eine präzise Temperaturregelung nicht erforderlich, solange die Badtemperatur nominell an der Umgebungstemperatur (das heißt Temperatur des Wafers) gehalten wird.
  • 1 zeigt eine gasförmige Strömung 126, die lokal zu dem zu messenden Messobjekt (Metrologieziel) vorgesehen ist. Im Allgemeinen kann jedoch die gasförmige Strömung 126 über den gesamten Wafer, durch einen beliebigen Teil des Strahlengangs von der Beleuchtungsquelle zum Detektor oder eine beliebige Kombination davon bereitgestellt werden. Verschiedene Beispiele zum Bereitstellen eines Spülgasstroms über den Wafer und durch den Strahlengang zwischen der Beleuchtungsquelle und dem Detektor sind in dem am 13. Juli 2010 erteilten US-Patent Nr. 7,755,764 von Hidong Kwak et al. beschrieben, wobei der Gegenstand dieses Patents in seiner Gesamtheit hierin aufgenommen ist.
  • Im Allgemeinen kann jedes geeignete Spülgas und Füllmaterial bei der Durchführung von Messungen, wie hierin beschrieben, ausgewählt werden. Exemplarische Spülgase umfassen inerte Gase, Stickstoff und saubere, trockene Luft. Die Auswahl eines geeigneten Spülgases wird hauptsächlich durch dessen Verfügbarkeit in einer Halbleiterfertigungsanlage bestimmt. Exemplarische Füllmaterialien umfassen Wasser, Ethanol und Toluol. Die Auswahl geeigneter Füllmaterialien wird durch die Fähigkeit bestimmt, den Dampfdruck, die Eigenschaften zur Füllung eines Hohlraums, den optischen Eigenschaften und jeglichen chemischen Wechselwirkungen zwischen dem Füllmaterial und der zu vermessenden Probe zu steuern.
  • Beispielsweise können sowohl der Brechungsindex des Füllmaterials und der Absorptionskoeffizient des Füllmaterials in dem zugrundeliegenden Messmodell berücksichtigt werden, da das flüssige Füllmaterial nicht nur einfallendes Licht bricht, sondern auch einfallendes Licht absorbiert. Beide dieser Eigenschaften erzeugen Unterschiede zwischen Messungen, die mit Füllmaterial und Messungen, die ohne Füllmaterial durchgeführt werden. Dies ist insbesondere bei Messungen mit relativ kurzen Beleuchtungswellenlängen (wie beispielsweise Vakuum-UV-Wellenlängen von 120 Nanometer bis 190 Nanometer) der Fall. Somit kann eine Auswahl eines flüssigen Füllmaterials, das sich sowohl im Brechungsindex als auch im Absorptionskoeffizient von Luft erheblich unterscheidet, die Möglichkeit für reduzierte Parameterkorrelationen in einer Multi-Ziel-Messauswertung bieten.
  • In einem weiteren Aspekt der Erfindung wird die gasförmige Adsorption eingesetzt, um Räume zwischen geometrischen, strukturellen Merkmalen eines Metrologieziels selbst (beispielsweise Strukturen mit kritischer Dimension (CD), Gitterstrukturen, Overlay-Strukturen, etc.) während der Messung des Metrologieziels durch Adsorption (das heißt Kapillarkondensation) zu füllen. Im Allgemeinen wird der gewünschte Sättigungsgrad des verdampften Materials in der gasförmigen Strömung 126 auf Basis der durch gasförmige Adsorption zu füllenden maximalen Merkmalsgröße bestimmt. Die Adsorption wird verwendet, um kleine Merkmale (beispielsweise kleine Volumina wie Kerben, Gräben, Schlitze, Kontaktlöcher, etc.) mit einem Füllmaterial zu füllen. Die Kelvin-Gleichung liefert eine annähernde Berechnung der maximalen Strukturgröße, die mit einen bestimmten Füllmaterial, bei einem bestimmten Partialdruck des Füllmaterials und bei einer bestimmten Umgebungstemperatur (beispielsweise Temperatur des Wafers) befüllt werden kann. Die Gleichung (3) veranschaulicht die Kelvin-Gleichung für einen kondensierten Meniskus mit zwei unterschiedlichen Radien r1 und r2 , wobei R die ideale Gaskonstante, Ta die Umgebungstemperatur, V das Molvolumen des Füllmaterials, y die Konstante der Oberflächenspannung, die mit dem Füllmaterial verbunden ist, und p/p0 der Partialdruck des Füllmaterials ist. 1 r 1 + 1 r 2 = R T a γ V ln ( p p 0 )
    Figure DE112017002291T5_0005
  • 5 zeigt eine Tabelle 129, die das molare Volumen und die Oberflächenspannung assoziiert mit Wasser, Toluol und Ethanol veranschaulicht.
  • Für zylindrische Lochmerkmale ist r1 gleich r2 . 6 zeigt ein Diagramm 172 des maximalen Durchmessers eines zylindrischen Lochs, das durch Adsorption gemäß Gleichung (3) gefüllt werden kann. Das Diagramm 172 zeigt den maximalen Durchmesser eines zylindrischen Loches, das durch Wasser (Kurve 175), Ethanol (Kurve 174) und Toluol (Kurve 173) für unterschiedliche Partialdrücke eines jeden Füllmaterials und bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie in 6 dargestellt ist, können die zylindrischen Löcher mit einem Durchmesser bis zu 40 Nanometer gefüllt werden, wenn die gasförmige Strömung 126 dem Messziel mit einem Partialdruck von Wasser oder Ethanol von 95% oder mehr bereitgestellt wird. Wie auch in 6 dargestellt ist, können zylindrische Löcher mit einem Durchmesser bis zu 90 Nanometer gefüllt werden, wenn die gasförmige Strömung126 dem Messziel mit einem Partialdruck von Toluol von 95% oder mehr bereitgestellt wird.
  • Für Linien und Zwischenräume ist r2 gleich Null. 7 zeigt ein Diagramm 160, das den maximalen Durchmesser eines langen, grabenartigen Merkmals darstellt, das durch Adsorption gemäß Gleichung (3) gefüllt werden kann. Das Diagramm 160 zeigt den maximalen Durchmesser eines Grabens, der mit Wasser (Kurve 164), mit Ethanol (Kurve 163), und mit Toluol (Kurve 162) für unterschiedliche Partialdrücke für jedes Füllmaterial bei einer Umgebungstemperatur von 25 Grad Celsius gefüllt werden kann. Wie dargestellt, ist der maximale Durchmesser über ein langes, grabenartiges Merkmal der halbe maximale Durchmesser eines Merkmals, das ein zylindrisches Loch ist. Wie in den 8 und 9 dargestellt ist, scheinen sich die Kurven für Wasser und Ethanol zu überlappen, da die Leistung von Ethanol als Füllmaterial sehr ähnlich der von Wasser ist.
  • In einem Aspekt der Erfindung wird der Sättigungsgrad des verdampften Füllmaterials bei einer Umgebungstemperatur Ta so eingestellt, dass alle Merkmale unterhalb einer gewünschten maximalen Strukturgröße gefüllt sind. In einigen Ausführungsformen wird dies durch Steuerung der Temperaturdifferenz zwischen dem Wafer und dem Flüssigkeitsbad des Füllmaterials erreicht. In einigen anderen Ausführungsform wird dies Steuern der Konzentration des nicht-flüchtigen, gelösten Stoffes in dem Flüssigkeitsbad des Füllmaterials erreicht.
  • In einem weiteren Aspekt der Erfindung werden Messungen bei verschiedenen Sättigungsgraden des verdampften Füllmaterials bei der Umgebungstemperatur durchgeführt, so dass alle Merkmale unterhalb eines Bereichs der maximalen Strukturgrößen gefüllt sind. Die Messungen werden in einem Multi-Target Messmodell kombiniert, um den Wert eines oder mehrerer Parameter von Interesse mit reduzierter Parameterkorrelation und verbesserter Messleistung abzuschätzen.
  • 8 veranschaulicht ein ungefülltes Metrologieziel 150 aus Linien und Abständen, das eine periodische, zweidimensionale, auf einem Substrat 151 hergestellte Resist-Gitterstruktur 152 aufweist. Die Resist-Gitterstruktur 152 weist eine nominelle obere kritische Dimension (TCD) von 7 Nanometern und eine Höhe H von 50 Nanometer auf.
  • 9 stellt ein gefülltes Metrologieziel 155 aus Linien und Abständen dar. Das Metrologieziel 155 aus Linien und Abständen besteht aus der gleichen periodischen, zweidimensionalen Resist-Gitterstruktur 152, die auf einem Substrat 151 hergestellt ist, wobei jedoch die Räume zwischen der Resist-Gitterstruktur 152 mit einem Füllmaterial 153 gefüllt sind. Dies kann in einem Ausführungsbeispiel dadurch erreicht werden, dass man eine gasförmige Strömung 126 auf das Metrologieziel 155 richtet, die Toluol bei einem Partialdruck von etwa 70% oder höher umfasst. In einem anderen Ausführungsbeispiel kann das Füllen der Gitterstruktur 152 durch Bereitstellen einer gasförmigen Strömung 126 auf das Metrologieziel 155 erreicht werden, die Wasser oder Ethanol bei einem Partialdruck von etwa 85% oder höher enthält.
  • 10A zeigt ein ungefülltes Metrologieziel 156 mit mehreren Schichten, das eine oberste Schicht mit einem zylindrischen Kontaktloch aufweist. Wie in 10A dargestellt ist, umfasst das Metrologieziel 156 eine erste Schicht 166, eine zweite Schicht 167, eine dritte Schicht 168 und eine vierte Schicht 169, die eine Nennhöhe von 135 Nanometer aufweisen. Die vierte Schicht enthält ein zylindrisches Loch 170 durch die vierte Schicht mit einem Nenndurchmesser von 10 Nanometer. Die Struktur des Metrologieziels 165 hat eine Nennbreite von 40 Nanometern und eine Nennlänge von 40 Nanometern.
  • 10B zeigt ein gefülltes Metrologieziel 157 einschließlich des gleichen Metrologieziels 156, mit der Ausnahme, dass das zylindrische Loch 170 mit einer Menge an Füllmaterial 171 gefüllt ist. Dies kann in einem Ausführungsbeispiel erreicht werden, indem die gasförmige Strömung 126 auf das Metrologieziel 156 zusammen mit Toluol bei einem Partialdruck von etwa 85% oder höher gerichtet wird. In einem anderen Ausführungsbeispiel kann das Füllen des zylindrischen Lochs 170 durch Bereitstellen der gasförmigen Strömung 126 auf das Metrologieziel 155 zusammen mit Wasser oder Ethanol bei einem Partialdruck von etwa 95% oder höher erreicht werden.
  • Die in den 8 - 10B dargestellten Metrologieziele stellen alle nicht einschränkende Beispiele dar. Im Allgemeinen umfasst eine Messstelle ein oder mehrere Metrologieziele, die durch ein Messsystem (beispielsweise Metrologiesystem 100 in 1) gemessen werden. Im Allgemeinen kann die Messdatenerfassung über den gesamten Wafer oder eine Teilmenge der Waferfläche durchgeführt werden. Darüber hinaus werden in einigen Ausführungsformen die Metrologieziele hinsichtlich der Bedruckbarkeit und der Empfindlichkeit gegenüber Veränderungen bei Prozessparametern und/oder interessierenden Strukturparametern entworfen. In einigen Beispielen sind die Metrologieziele spezialisierte Ziele. In einigen Ausführungsformen basieren die Metrologieziele auf konventionellen Linien-/Zwischenraum-Zielen. Als nicht einschränkendes Beispiel werden CD Ziele, SCOL Ziele oder AiM™-Ziele verwendet, die von KLA-Tencor Corporation Milpitas, Kalifornien (USA) erhältlich sind. In einigen anderen Ausführungsformen sind die Metrologieziele bauelementartige Strukturen. In einigen anderen Ausführungsformen sind die Metrologieziele Bauelementstrukturen oder Teile von Bauelementstrukturen. Unabhängig von der Art des eingesetzten Metrologieziels wird ein Satz von Metrologiezielen gemessen, die eine Empfindlichkeit gegenüber den untersuchten Prozessvariationen und/oder untersuchten Strukturvariationen aufweisen, wobei das Füllen von Formen mittels gasförmiger Adsorption, wie hierin beschrieben, verwendet wird.
  • In einem weiteren Aspekt der Erfindung werden Messdaten von CD-Strukturen gesammelt, wenn die CD-Strukturen gefüllt sind (das heißt der hierin beschriebenen gasförmigen Adsorption Gasadsorption unterliegen), und wenn sie nicht gefüllt sind (das heißt nicht der Gasadsorption unterliegen). Die gesammelten Daten werden in einer auf dem Multi-Ziel-Modell (Mehr-Ziel-Modell, Multi-Target-Modell) basierenden Messung kombiniert, um die Messleistung zu verbessern. In einem Ausführungsbeispiel werden Messdaten gesammelt, wenn das Metrologieziel 156 nicht gefüllt ist, wie in 10A dargestellt ist. In diesem Szenario wird eine gasförmige Strömung 126 auf das Metrologieziel 156 gerichtet, ohne dass Füllmaterial in den Strom verdampft ist. Zusätzlich werden Messdaten gesammelt, wenn das Metrologieziel 156 gefüllt ist, wie in 10B dargestellt. In diesem Szenario wird eine gasförmige Strömung 126 auf das Metrologieziel 156 gerichtet, wobei eine ausreichende Sättigung des Füllmaterials vorgesehen ist, um das zylindrische Loch 170 zu füllen, wie mit Bezug auf 10B beschrieben ist. Die gesammelten Daten werden durch das Computersystem 130 empfangen. Das Computersystem 130 führt eine modellbasierte Messanalyse unter Verwendung der beiden Sätze von Messdaten mit einem Mehr-Ziel-Modell aus, um die Werte interessierender Parameter zu bestimmen. In einigen Beispielen wird das hierin beschriebene Mehr-Ziel-Modell offline implementiert, beispielsweise durch ein Computersystem, das die AcuShape® Software von der KLA-Tencor Corporation Milpitas, Kalifornien, USA, implementiert hat. Das sich daraus ergebende Mehr-Ziel-Modell wird als ein Element einer AcuShape®-Bibliothek aufgenommen, auf die durch ein Metrologiesystem zugegriffen werden kann, das Messungen unter Verwendung des Mehr-Ziel-Modells durchführt.
  • 11 zeigt einen Vergleich von Messergebnissen ohne Füllung der Form und von Messergebnissen, die mit einem Multi-Ziel-Modell unter Verwendung von Daten, die mit und ohne Füllung der Form für eine Vielzahl von Parametern des in 10A dargestellten Metrologieziels 156 erhalten werden. Der Parameter L1_HT bezieht sich auf die Höhe der ersten Schicht 166 des Metrologieziels 156 aus 10A. L2_HT bezieht sich auf die Höhe der zweiten Schicht 167. L3_HT bezieht sich auf die Höhe der dritten Schicht 168. G4_TCD bezieht sich auf die obere kritische Abmessung des zylindrischen Lochs 170. G4_BCD bezieht sich auf die untere kritische Abmessung des zylindrischen Lochs 170. G4_EL bezieht sich auf die Elliptizität des zylindrischen Lochs 170. Wie in 11 dargestellt, wird die Verbesserung der Messgenauigkeit von jedem der L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD und G4_EL durch einen signifikanten Prozentsatz verbessert, wie dies jeweils durch die Messbalken 177A-F dargestellt ist. Ebenso wird die Korrelation der Messung jeder der L1_HT, L2_HT, L3_HT, G4_TCD, G4_BCD und G4_EL um einen signifikanten Prozentsatz verbessert (das heißt verringert), wie dies jeweils durch die Messbalken 178A-F dargestellt ist.
  • In einem weiteren Aspekt der Erfindung wird eine Reihe von Messungen durchgeführt, so dass jeder Satz von Messdaten von Strukturen des Metrologieziels gesammelt wird, wenn die Strukturen des Metrologieziels mit einem anderen Füllmaterial oder mit Kombinationen verschiedener Füllmaterialien gefüllt sind. Die gesammelten Daten werden in einer auf dem Mehr-Ziel-Modell basierenden Messung kombiniert, um Parameterkorrelationen zu verringern und die Messleistung zu verbessern.
  • In einem weiteren Aspekt der Erfindung werden Messdaten von einem Metrologieziel, das der Adsorption unterliegt, gesammelt, wenn der Adsorptionsvorgang einen stationären Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Adsorptionsprozess hat einen stationären Zustand erreicht.
  • In einem noch weiteren Aspekt der Erfindung werden Messdaten von einem Metrologieziel, das der Adsorption unterliegt, gesammelt, bevor der Adsorptionsvorgang einen stationären Zustand erreicht hat. Mit anderen Worten, die Menge der Füllung durch den Adsorptionsprozess ändert sich während der Zeit der Messung.
  • 12 veranschaulicht ein Verfahren 200 zum Durchführen von Messungen von Strukturen, die Gasadsorption unterliegen. Das Verfahren 200 ist geeignet für die Implementierung durch ein Metrologiesystem, wie beispielsweise das Metrologiesystem 100, das in 1 der vorliegenden Erfindung veranschaulicht ist. In einem Aspekt der Erfindung ist es anerkannt, dass Datenverarbeitungsblöcke des Verfahrens 200 mit einem vorprogrammierten Algorithmus durchgeführt werden können, der von einem oder mehreren Prozessoren des Computersystems 130 oder jedes anderen Universalcomputersystem ausgeführt werden kann. Es sei hier bemerkt, dass die besonderen strukturellen Aspekte des Metrologiesystems 100 keine Einschränkungen darstellen und nur als illustrativ interpretiert werden sollten.
  • In Block 201 wird eine erste Menge an Beleuchtungslicht auf ein oder mehrere Strukturelemente, die auf einer Probe angeordnet sind, bereitgestellt.
  • In Block 202 wird eine gasförmige Strömung, die ein Füllmaterial in einer Dampfphase enthält, während der Beleuchtung des einen oder der mehreren Strukturelemente dem einen oder den mehreren Metrologiezielen zugeführt. Ein Teil des Füllmaterials adsorbiert auf dem einen oder den mehreren Metrologiezielen in einer flüssigen Phase und füllt dabei zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Strukturmerkmalen des einen oder der mehreren Metrologieziele.
  • In Block 203 wird, in Reaktion auf die erste Menge an Beleuchtungslicht, eine erste Menge an gesammeltem Licht von dem einen oder den mehreren Metrologiezielen empfangen.
  • In Block 204 wird, beispielsweise durch ein Computersystem 130, ein erster Satz von Messsignalen kommuniziert, die einer ersten Messung des einen oder der mehreren Metrologieziele zugeordnet sind. Der erste Satz von Messsignalen ist indikativ für die erste Menge an gesammeltem Licht.
  • Gemäß einem anderen Beispiel veranschaulicht 13 ein Verfahren 300 zum Durchführen von Messungen von Strukturen, die einer Gasadsorption unterliegen. Das Verfahren 300 ist zur Implementierung durch ein Metrologiesystem wie das in 1 dargestellte Metrologiesystem 100 gemäß der vorliegenden Erfindung geeignet. In einem Aspekt der Erfindung wird erkannt, dass Datenverarbeitungsblöcke des Verfahrens 300 über einen vorprogrammierten Algorithmus ausgeführt werden können, der von einem oder mehreren Prozessoren des Computersystems 130 oder einem beliebigen anderen Universalrechnersystem ausgeführt werden kann. Es wird hierin erkannt, dass die speziellen strukturellen Aspekte des Metrologiesystems 100 keine Beschränkungen darstellen und nur als veranschaulichend interpretiert werden sollten.
  • In Block 301 wird ein erster gasförmiger Strom, der ein Füllmaterial in einer Dampfphase enthält, einem oder mehreren Metrologiezielen, die durch ein optisches Messsystem zu vermessen sind, bereitgestellt. Ein Teil des Füllmaterials wird auf dem einen oder den mehreren Metrologiezielen in einer flüssigen Phase adsorbiert und der Teil des Füllmaterials füllt mindestens einen Teil eines Raums zwischen einem oder mehreren geometrischen Strukturmerkmalen des einen oder der mehreren Metrologieziele in einem ersten Adsorptionszustand.
  • In Block 302 wird ein gasförmiger Strom dem einen oder den mehreren Metrologiezielen, die durch das optische Messsystem zu vermessen sind, in einem zweiten Adsorptionszustand bereitgestellt.
  • In Block 303 wird ein Wert eines interessierenden Parameters des einen oder der mehreren Metrologieziele zumindest teilweise basierend auf dem ersten Satz von optischen Messsignalen, die von dem einen oder den mehreren Metrologiezielen durch das optische Messsystem bei dem ersten Adsorptionszustand detektiert werden, einem zweiten Satz von optischen Messsignalen, die von dem einen oder den mehreren Metrologiezielen durch das optische Messsystem bei dem zweiten Adsorptionszustand detektiert werden, und einem Multi-Ziel-Messmodell geschätzt werden.
  • In der in 1 dargestellten Ausführungsform werden spektroskopische Ellipsometermessungen von Metrologiezielen, die einem gasförmigen Strom mit variierenden Mengen an flüssigem Füllmaterial ausgesetzt sind, durchgeführt. Im Allgemeinen kann jedoch jede geeignete modellbasierte Messtechnik verwendet werden, um Messungen von Messzielen durchzuführen, die einem Gasstrom mit variierenden Mengen an flüssigem Füllmaterial gemäß den hierin beschriebenen Verfahren und Systemen ausgesetzt sind.
  • Geeignete modellbasierte Metrologietechniken umfassen, ohne jedoch darauf beschränkt zu sein, die spektroskopische Ellipsometrie und spektroskopische Reflektometrie, einschließlich Implementierungen bei einer einzigen Wellenlänge, Implementierungen bei mehreren Wellenlängen und winkelaufgelöste Implementierungen, spektroskopische Scatterometrie, Scatterometrie Overlay, Strahlprofil-Reflektometrie und Strahlprofil-Ellipsometrie, einschließlich winkelaufgelöster und polarisationsaufgelöster Implementierungen, einzeln oder in beliebiger Kombination.
  • Im Allgemeinen können die zuvor erwähnten Messtechniken auf die Messung von Prozessparametern, Strukturparametern, Layout-Parametern, Dispersionsparametern oder einer beliebigen Kombination davon angewendet werden. Als nicht einschränkendes Beispiel können Overlay-, Profilgeometrie-Parameter (beispielsweise kritische Dimension, Höhe, Seitenwandwinkel), Prozessparameter (beispielsweise Lithografiefokus und Lithografiedosis), Dispersionsparameter, Layout-Parameter (beispielsweise Pitch-Abweichung, Randplatzierungsfehler), Schichtdicke, Parameter der Zusammensetzung oder eine beliebige Kombination von Parametern unter Verwendung der oben genannten Techniken gemessen werden.
  • Als nicht-einschränkendes Beispiel können die mit Formfüllung gemessen Strukturen Linie/Abstand-Gitterstrukturen, FinFeT-Strukturen, SRAM-Bauelementstrukturen, Flashspeicher-Strukturen und Strukturen DRAM-Speicherstrukturen umfassen.
  • In einem weiteren Aspekt der Erfindung sind die auf dem Wafer angeordneten Metrologieziele Designregel-Ziele. Mit anderen Worten, entsprechen die Metrologieziele den Designregeln des zugrunde liegenden Halbleiterherstellungsprozesses. In einigen Beispielen befinden sich die Metrologieziele vorzugsweise innerhalb der aktiven Die-Fläche. In einigen Beispielen haben die Metrologieziele Abmessungen von 15 Mikrometern auf 15 Mikrometer oder kleiner. In einigen anderen Beispielen befinden sich die Metrologieziele in den Anreißlinien (scribe lines) oder anderweitig außerhalb der aktiven Die-Fläche.
  • In einigen Beispielen werden modellbasierte Messungen mit Formfüllung durchgeführt, um einen interessierenden Parameter zu schätzen. Somit wird das dem interessierenden Parameter zugeordnete Messmodell unabhängig optimiert. Durch die individuelle Messung eines jeden interessierenden Parameters wird die Rechenbelastung reduziert und die Leistung der zugrundeliegenden Messung kann durch Auswählen verschiedener Wellenlängen, der Subsysteme für die Messung und der Messmethoden maximiert werden, die für jeden einzelnen Parameter optimiert sind. Zudem können verschiedene Löser der modellbasierten Messung für jeden interessierenden Parameter ausgewählt oder anders konfiguriert werden.
  • Allerdings können in einigen anderen Beispielen modellbasierte Messungen mit Formfüllung durchgeführt werden, um mehrere interessierende Parameter parallel abzuschätzen. Somit wird das Messmodell entwickelt, um mehrere interessierende Parameter zu bestimmen.
  • In einigen Beispielen beruhen Messungen interessierender Parameter, die an einer bestimmten Messstelle durchgeführt wurden, nur auf an dieser bestimmten Messstelle gesammelten Daten, auch wenn Daten von mehreren Messstellen auf dem Wafer gesammelt werden können. In einigen anderen Beispielen werden Messdaten, die von mehreren über den Wafer oder eine Untermenge des Wafers verteilten Stellen gesammelt wurden, für die Messanalyse verwendet. Dies kann wünschenswert sein, um Parametervariationen über den Wafer zu erfassen.
  • Bei einigen Beispielen werden die Messungen der interessierenden Parameter auf Basis gefüllter Metrologieziele mit mehreren, verschiedenen Messtechniken durchgeführt, welche Techniken für einzelne Ziele, Techniken für mehrere Ziele und Techniken der Spektren-Vorwärtskopplung umfassen. Die Genauigkeit der gemessenen Parameter kann durch eine beliebige Kombination von einer Seitwärtskopplungsanalyse, einer Vorwärtskopplungsanalyse und einer parallelen Analyse verbessert werden. Die Seitwärtskopplungsanalyse bezieht sich auf die Verwendung mehrerer Datensätze von verschiedenen Bereichen der gleichen Probe und der Übergabe gemeinsamer Parameter von dem ersten Datensatz zum zweiten Datensatz für die Analyse. Die Vorwärtskopplungsanalyse bezieht sich auf die Aufnahme von Datensätzen verschiedener Proben und der Übergabe gemeinsamer Parameter an nachfolgende Analysen, wobei eine exakte Parameter-Vorwärtskopplung mit schrittweiser Kopie verwendet wird. Die parallele Analyse bezieht sich auf die parallele oder gleichzeitige Anwendung einer nichtlinearen Fittingmethodik auf mehrere Datensätze, wobei zumindest ein gemeinsamer Parameter während des Fittens gekoppelt ist.
  • Mehrfach-Maschinen- und Mehrfach-Strukturanalyse bezieht sich auf eine Vorwärtskopplungs- eine Seitwärtskopplungs- oder eine parallele Analyse, die auf einer Regression, einer Look-up Tabelle (das heißt „Bibliotheks“-Treffer) oder einem anderen Fitprozess von mehreren Datensätzen basieren. Beispielhafte Verfahren und Systeme für Mehrfach-Maschinen- und Mehrfach-Strukturanalyse sind beschrieben in dem US-Patent 7,478,019 , erteilt am 13. Januar 2009 für KLA-Tencor Corp., das in seiner Gesamtheit durch Bezugnahme hierin aufgenommen ist.
  • In einem weiteren Aspekt der Erfindung können die, wie hierin beschrieben, erhaltenen Messergebnisse verwendet werden, um eine aktive Rückkopplung zu einer Prozessmaschine (beispielsweise Lithographiemaschine, Ätzmaschine, Abscheidemaschine, etc.) zur Verfügung zu stellen. Beispielsweise können Werte von kritischen Dimensionen, die mit den hierin beschriebenen Verfahren und Systemen bestimmt wurden, an eine Lithographiemaschine weitergeleitet werden, um das Lithographiesystem derart einzustellen, dass ein gewünschter Output erzielt wird. In ähnlicher Weise können Ätzparameter (beispielsweise Ätzzeit, Diffusität, etc.) oder Abscheidungsparameter (wie beispielsweise Zeit, Konzentration, etc.) in einem Messmodell enthalten sein, um aktive Rückkopplung an ein Ätzmaschinen bzw. Abscheidemaschinen zu liefern. In einigen Beispielen können Korrekturen für Prozessparameter, die auf Basis gemessener Werte von Bauelementparametern bestimmt wurden, an eine Lithographiemaschine, eine Ätzmaschine oder eine Abscheidemaschine kommuniziert werden.
  • Es sollte erkannt werden, dass die verschiedenen Schritte, die in der gesamten vorliegenden Offenbarung beschrieben werden, durch ein Einzelcomputersystem 130, ein Mehrfachcomputersystem 130 oder mehrere verschiedene Computersysteme 130 durchgeführt werden können. Des Weiteren können verschiedene Subsysteme des Systems 100, wie das spektroskopische Ellipsometer 101, ein Computersystem beinhalten, das zur Durchführung zumindest eines Teils der hierin beschriebenen Schritte geeignet ist. Daher soll die vorstehende Beschreibung nicht als Einschränkung der vorliegenden Erfindung ausgelegt werden, sondern lediglich als eine Illustration. Ferner kann das Computersystem 130 dazu ausgebildet sein, einen beliebigen anderen Schritt bzw. beliebige andere Schritte einer beliebigen der hierin beschriebenen Verfahrensausführungsformen durchzuführen.
  • Das Computersystem 130 kann, ohne jedoch darauf beschränkt zu sein, ein Personalcomputer-System, ein Großrechnersystem, eine Workstation, einen Bildrechner, einen Parallelprozessor oder ein beliebiges anderes bekanntes Gerät umfassen. Im Allgemeinen kann der Begriff „Computersystem“ breit definiert werden, so dass er jede Vorrichtung, oder Kombination von Vorrichtungen, umfasst, mit einem oder mehreren Prozessoren, die Anweisungen von einem Speichermedium ausführen. Im Allgemeinen kann das Computersystem 130 in ein Messsystem, wie etwa Messsystem 100 integriert sein, oder kann alternativ vollständig oder teilweise von jeglichem Messsystem abgesondert sein. In diesem Sinne kann das Computersystem 130 entfernt angeordnet sein und Messdaten von jeder Messquelle empfangen und Befehlssignale zu jedem Element des Metrologiesystems 100 übertragen.
  • Programmanweisungen 134, die Verfahren wie die hierin beschriebenen umsetzen, können über ein Übertragungsmedium übertragen werden, etwa einen Draht, ein Kabel oder eine drahtlose Übertragungsverbindung. Speicher 132, der die Programmanweisungen 134 speichert, kann ein computerlesbares Medium, etwa einen Nur-Lese-Speicher (ROM), einen Speicher mit wahlfreiem Zugriff (RAM), eine magnetische oder optische Platte oder ein Magnetband beinhalten.
  • Ferner kann das Computersystem 130 in jeder bekannten Weise in Kommunikationsverbindung mit dem Spektrometer 104 oder dem Beleuchtungssubsystem 102 des Ellipsometers 101 stehen.
  • Das Computersystem 130 kann dazu ausgebildet sein, Daten oder Information von Subsystemen des Systems (beispielsweise Spektrometer 104, Beleuchter 102, Dampfinjektionssystem 120 und dergleichen) über ein Transmissionsmedium zu empfangen und/oder zu erfassen, das leitungsgebundene und/oder drahtlose Abschnitte umfassen kann. Auf diese Weise kann das Transmissionsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 dazu ausgebildet sein, Messdaten über ein Speichermedium (das heißt Speicher) zu empfangen. Beispielsweise können die Spektralergebnisse, die durch Verwendung eines Spektrometers des Ellipsometers 101 erhalten wurden, in einem permanenten oder semipermanenten Speicherelement (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die Spektralergebnisse von einem externen System importiert werden. Ferner kann das Computersystem 130 Daten von externen Systemen über ein Transmissionsmedium empfangen.
  • Das Computersystem 130 kann dazu ausgebildet sein, Daten oder Information zu Subsystemen des Systems (beispielsweise Spektrometer 104, Beleuchter 102, Dampfinjektionssystem 120 und dergleichen) über ein Transmissionsmedium zu übertragen, das leitungsgebundene und/oder drahtlose Abschnitte beinhalten kann. Auf diese Weise kann das Transmissionsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen des Systems 100 dienen. Ferner kann das Computersystem 130 dazu ausgebildet sein, Befehlssignale und Messergebnisse über ein Speichermedium (das heißt Speicher) zu übertragen. Beispielsweise können die Messergebnisse 115, die durch Analyse spektraler Daten erhalten wurden, in einem permanenten oder semipermanenten Speicherelement (nicht gezeigt) gespeichert werden. In dieser Hinsicht können die spektralen Ergebnisse an ein externes System exportiert werden. Ferner kann das Computersystem 130 Daten über ein Transmissionsmedium zu externen Systemen senden. Ferner werden die ermittelten Werte des interessierenden Parameters in einem Speicher gespeichert. Beispielsweise können die Werte im Messsystem 100 gespeichert werden, zum Beispiel in Speicher 132, oder sie können (beispielsweise über Ausgabesignal 115) an ein externes Speichergerät übermittelt werden.
  • Wie hierin beschrieben, beinhaltet der Begriff „kritische Dimension“ jegliche kritische Dimension einer Struktur (beispielsweise untere kritische Dimension, mittlere kritische Dimension, obere kritische Dimension, Böschungswinkel, Gitterhöhe, etc.), eine kritische Dimension zwischen beliebigen zwei oder mehr Strukturen (beispielsweise Abstand zwischen zwei Strukturen), und eine Verschiebung zwischen zwei oder mehr Strukturen (beispielsweise Overlay-Verschiebung zwischen sich überlagernden Gitterstrukturen, etc.). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlay-Strukturen etc. umfassen.
  • Wie hierin beschrieben, beinhaltet der Begriff „Kritische-Dimensions-Anwendung“ oder „Kritische-Dimensions-Messanwendung“ jegliche Messung einer kritischen Dimension.
  • Wie hierin beschrieben, beinhaltet der Begriff „Metrologie-System“ jegliches System, das zumindest teilweise dazu eingesetzt wird, eine Probe in einem beliebigen Aspekt zu charakterisieren, darunter Messanwendungen wie Kritische-Dimensions-Metrologie, Overlay-Metrologie, Fokus-/Dosis-Metrologie und Zusammensetzungsmetrologie. Jedoch beschränken solche Fachbegriffe nicht den Umfang des Begriffs „Metrologiesystem“ wie hierin beschrieben. Zusätzlich kann das Metrologiesystem 100 zur Messung von strukturierten Wafern und/oder unstrukturierten Wafern ausgebildet sein. Das Metrologiesystem kann als eine Inspektionsmaschine, etwa als eine LED-Inspektionsmaschine, eine Randinspektionsmaschine, eine Rückseiteninspektionsmaschine, eine Makroinspektionsmaschine, oder Multi-Modus-Inspektionsmaschine (welche gleichzeitig Daten von einer oder mehreren Plattformen involviert), und jegliche andere Metrologie- oder Inspektionsmaschine, die von der Kalibrierung von Systemparametern auf Grundlage von Daten zur kritischen Dimension profitiert, ausgebildet sein. Für Zwecke dieses Patentdokuments sind die Begriffe „Metrologie“-System und „Inspektions“-System synonym.
  • Hierin werden verschiedene Ausführungen für ein Halbleiterprozesssystem (beispielsweise ein Inspektionssystem oder ein Lithographiesystem) beschrieben, das dazu verwendet werden kann, eine Probe einem Prozess (Verarbeitung) zu unterziehen. Der Begriff „Probe“ wird hierin verwendet, um einen Wafer, ein Retikel oder eine beliebige andere Probe, die durch bekannte Mittel bearbeitet (beispielsweise gedruckt oder auf Defekte inspiziert) werden kann, zu bezeichnen.
  • Wie hierin verwendet, bezieht sich der Begriff „Wafer“ allgemein auf Substrate aus einem Halbleiter- oder einem Nicht-Halbleiter-Material. Beispiele umfassen, ohne jedoch darauf beschränkt zu sein, monokristallines Silizium, Galliumarsenid und Indiumphosphid. Derartige Substrate werden gemeinhin in Halbleiterherstellungsanlagen angetroffen und/oder verarbeitet. In einigen Fällen umfasst ein Wafer lediglich das Substrat (das heißt blanker Wafer). Alternativ kann ein Wafer eine oder mehrere Schichten aus unterschiedlichen Materialien umfassen, die auf einem Substrat ausgebildet sind. Eine oder mehrere auf einem Wafer ausgebildete Schichten können „strukturiert“ oder „unstrukturiert“ sein. Beispielsweise kann ein Wafer eine Vielzahl an Dies beinhalten, die wiederholbare Strukturmerkmale haben.
  • Ein „Retikel“ kann ein Retikel in jedem Stadium eines Retikelherstellungsprozesses sein oder ein vollständiges Retikel, das zur Verwendung in einer Halbleiterherstellungseinrichtung freigegeben wird oder nicht. Ein Retikel oder eine „Maske“ ist allgemein definiert als ein im Wesentlichen transparentes Substrat mit darauf ausgebildeten im Wesentlichen opaken Bereichen, die in einem Muster angeordnet sind. Das Substrat kann zum Beispiel ein Glasmaterial, etwa amorphes SiO2, beinhalten. Ein Retikel kann während eines Belichtungsschritts eines Lithographieprozesses über einem mit Photolack (Resist) bedeckten Wafer angeordnet werden, so dass das Muster auf dem Retikel auf den Photolack übertragen werden kann.
  • Eine oder mehrere der auf einem Wafer ausgebildeten Schichten können strukturiert oder unstrukturiert sein. Beispielsweise kann ein Wafer eine Vielzahl an Dies beinhalten, von denen jeder wiederholbare Strukturmerkmale aufweist. Die Ausbildung und Verarbeitung solcher Materialschichten kann letztlich zu vollständigen Bauelementen führen. Viele unterschiedliche Arten von Bauelementen können auf einem Wafer ausgebildet werden, und der Begriff Wafer, wie er hierin verwendet wird, soll einen Wafer umfassen, auf dem eine beliebige bekannte Art eines Bauelements hergestellt wird.
  • In einer oder mehreren beispielhaften Ausführungsformen können die hierin beschriebenen Funktionen in Hardware, Software, Firmware oder jeder Kombination daraus umgesetzt werden. Erfolgt die Umsetzung in Software, so können die Funktionen als eine oder mehrere Instruktionen oder Code auf einem computerlesbaren Medium gespeichert oder über ein computerlesbares Medium übertragen werden. Computerlesbare Medien umfassen sowohl Computerspeichermedien als auch Kommunikationsmedien, darunter jegliches Medium, das die Übertragung eines Computerprogramms von einem Ort zu einem anderen ermöglicht. Ein Speichermedium kann jegliches verfügbare Medium sein, auf das von einem Universalrechner oder Spezialrechner zugegriffen werden kann. Als nichteinschränkende Beispiele können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder einen anderen optischen Plattenspeicher, einen magnetischen Plattenspeicher oder andere magnetische Speichergeräte, oder jegliches andere Medium, das verwendet werden kann, gewünschte Programmcodemittel in Form von Instruktionen oder Datenstrukturen zu tragen oder zu speichern, und auf das von einem Universalrechner oder Spezialrechner, oder von einem Universalprozessor oder Spezialprozessor zugegriffen werden kann, umfassen. Ebenso wird jede Verbindung korrekt als computerlesbares Medium bezeichnet. Falls die Software beispielsweise von einer Website, einem Server oder einer anderen entfernten Quelle übertragen wird unter Verwendung eines Koaxialkabels, eines Glasfaserkabels, verdrillter Adernpaare, von Digital Subscriber Line (DSL) oder von drahtlosen Technologien wie Infrarot, Funk, und Mikrowelle, dann sind das Koaxialkabel, das Glasfaserkabel, die verdrillten Adernpaare, DSL oder die drahtlosen Technologien wie Infrarot, Funk und Mikrowelle in die Definition von Medium eingeschlossen. Disk und Disc, wie hierin verwendet, beinhalten Compact Disc (CD), Laserdisc, optische Disc, Digital Versatile Disc (DVD), Floppy Disk und Blu-Ray-Disc, wobei „Disks“ Daten gemeinhin magnetisch wiedergeben, während „Discs“ Daten mit Lasern optisch wiedergeben. Kombinationen des Obigen sollen ebenfalls in die Bedeutung von computerlesbaren Medien eingeschlossen werden.
  • Obwohl vorstehend bestimmte Ausführungsformen zum Zwecke der Unterrichtung beschrieben werden, ist die Lehre dieses Patentdokuments allgemein anwendbar und ist nicht auf die spezifischen oben beschriebenen Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen verschiedener Merkmale der beschriebenen Ausführungsformen vorgenommen werden, ohne vom in den Ansprüchen festgelegten Gegenstand der Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/330751 [0001]
    • US 7755764 B [0045]
    • US 7478019 B [0082]

Claims (31)

  1. Ein Messsystem umfassend: eine Beleuchtungsquelle, dazu konfiguriert, eine erste Menge an Beleuchtungslicht für ein oder mehrere auf einem Wafer in einem ersten Adsorptionszustand angeordnete Metrologieziele bereitzustellen; ein Dampfinjektionssystem, das konfiguriert ist, eine erste gasförmige Strömung, die ein erstes Füllmaterial in einer Dampfphase enthält, zu dem einen oder den mehreren Metrologiezielen während der Beleuchtung des einen oder der mehreren Metrologieziele bereitzustellen, wobei ein Teil des Füllmaterials auf dem einen oder den mehreren Metrologiezielen in einer flüssigen Phase adsorbiert wird, und wobei der Teil des Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen Merkmalen des einen oder der mehreren Metrologieziele im einen ersten Adsorptionszustand füllt; und einen Detektor, der konfiguriert ist, eine erste Menge gesammelten Lichts von dem einen oder den mehreren Metrologiezielen in Antwort auf die erste Menge an Beleuchtungslicht zu empfangen und einen ersten Satz von Messsignalen zu erzeugen, der für die erste Menge gesammelten Lichts bezeichnend ist; und ein Computersystem, das ausgestaltet ist zum: Empfangen des ersten Satzes von Messsignalen, die einer ersten Messung des einen oder der mehreren Metrologieziele zugeordnet sind.
  2. Messsystem nach Anspruch 1, wobei das Computersystem ferner konfiguriert ist zum: Schätzen eines Wertes eines interessierenden Parameters des einen oder der mehreren Metrologieziele, zumindest zum Teil auf Basis des ersten Satzes an Messsignalen und eines Messmodells.
  3. Messsystem nach Anspruch 1, wobei die Beleuchtungsquelle ferner derart konfiguriert ist, dass sie eine zweite Menge an Beleuchtungslicht für das eine oder die mehreren auf dem Wafer angeordneten Metrologieziele in einem vom ersten Adsorptionszustand verschiedenen zweiten Adsorptionszustand bereitstellt, wobei der Detektor ferner derart konfiguriert ist, dass er eine zweite Menge gesammelten Lichts von dem einen oder den mehreren Metrologiezielen in Antwort auf die zweite Menge an Beleuchtungslicht empfängt und einen zweiten Satz von Messsignalen erzeugt, der für die zweite Menge gesammelten Lichts bezeichnend ist, und wobei das Computersystem ferner ausgebildet ist zum: Empfangen der zweiten Menge von Messsignalen, die einer zweiten Messung des einen oder der mehreren Metrologieziele zugeordnet sind; und Schätzen eines Wertes für einen interessierenden Parameter des einen oder der mehreren Metrologieziele, zumindest teilweise basierend auf den ersten und zweiten Sätzen von Messsignalen und einem Multi-Ziel-Messmodell.
  4. Messsystem nach Anspruch 3, wobei die erste Messung des einen oder der mehreren Metrologieziele bei einem ersten Partialdruck des Füllmaterials ausgeführt wird und die zweite Messung des einen oder der mehreren Metrologieziele bei einem zweiten Partialdruck des Füllmaterials ausgeführt wird.
  5. Messsystem nach Anspruch 4, wobei der zweite Partialdruck des Füllmaterials ungefähr Null beträgt.
  6. Messsystem nach Anspruch 3, wobei die erste Messung des einen oder der mehreren Metrologieziele mit einem ersten Füllmaterial ausgeführt wird und die zweite Messung des einen oder der mehreren Metrologieziele mit einem zweiten Füllmaterial ausgeführt wird.
  7. Messsystem nach Anspruch 1, wobei das Dampfinjektionssystem umfasst: ein Blasdüsenrohr, das ein flüssiges Füllmaterial bei einer ersten Temperatur umfasst, wobei ein Teil des flüssigen Füllmaterials in die gasförmige Strömung des Spülgases verdampft, der auf das eine oder die mehreren Metrologieziele gerichtet ist, wobei das eine oder die mehreren Metrologieziele eine zweite Temperatur aufweisen, die höher als die erste Temperatur ist.
  8. Messsystem nach Anspruch 1, wobei das Dampfinjektionssystem umfasst: ein Blasdüsenrohr, das einen nicht-flüchtigen, gelösten Stoff umfasst, der in einem flüssigen Füllmaterial gelöst ist, wobei ein Teil des flüssigen Füllmaterials in die für das eine oder die mehreren Metrologieziele bereitgestellte gasförmige Strömung verdampft.
  9. Messsystem nach Anspruch 1, wobei das Füllmaterial eines von Wasser, Ethanol, und Toluol ist.
  10. Messsystem nach Anspruch 1, wobei das Füllmaterial mehrere unterschiedliche Materialien umfasst.
  11. Messsystem nach Anspruch 1, wobei die erste Messung ausgeführt wird, wenn ein Adsorptionsprozess einen Gleichgewichtszustand erreicht hat.
  12. Messsystem nach Anspruch 1, wobei die erste Messung ausgeführt wird, bevor ein Adsorptionsprozess einen Gleichgewichtszustand erreicht hat.
  13. Messsystem nach Anspruch 1, wobei die erste Messung eine beliebige von einer spektoskopischen Ellipsometermessung, einer spektroskopischen Reflektometermessung, einer Reflektometermessung des Strahlprofils, einer Ellipsometermessung des Strahlprofils oder eine Kombination der vorgenannten ist.
  14. Messsystem nach Anspruch 1, wobei die erste Menge des Beleuchtungslichts Wellenlängen in einem Bereich von 120 Nanometern bis 190 Nanometern umfasst.
  15. Messsystem nach Anspruch 1, wobei das Schätzen des Wertes des interessierenden Parameters eine beliebige von einer modellbasierten Regression, einer modellbasierten Bibliothekssuche, einer modellbasierten Bibliothek-Regression, einer bildbasierten Analyse und einem Metrologie-Modell auf Basis der Signalantwort beinhaltet.
  16. Ein Verfahren umfassend: Bereitstellen einer ersten Menge an Beleuchtungslicht für ein oder mehrere Metrologieziele, die auf einer Probe bei einem ersten Adsorptionszustand angeordnet sind; Bereitstellen einer ersten gasförmigen Strömung, die ein Füllmaterial in einer Dampfphase enthält, zu dem einen oder den mehreren Metrologiezielen während der Beleuchtung des einen oder der mehreren Metrologieziele, wobei ein Teil des ersten Füllmaterials auf dem einen oder den mehreren Metrologiezielen in einer flüssigen Phase adsorbiert wird, und wobei der Teil des Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen strukturellen Merkmalen des einen oder der mehreren Metrologieziele bei dem ersten Adsorptionszustand füllt; Empfangen einer ersten Menge an gesammeltem Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die erste Menge an Beleuchtungslicht; und Kommunizieren eines ersten Satzes von Messsignalen, der einer ersten Messung von dem einen oder den mehreren Metrologiezielen zugeordnet ist, wobei der erste Satz von Messsignalen für die erste Menge von gesammelten Licht indikativ ist.
  17. Verfahren nach Anspruch 16, ferner umfassend: Empfangen des ersten Satzes von Messsignalen; und Schätzen eines Werts eines interessierenden Parameters des einen oder der mehreren Metrologieziele, zumindest teilweise basierend auf dem ersten Satz von Messsignalen und einem Messmodell.
  18. Verfahren nach Anspruch 16, ferner umfassend: Bereitstellen einer zweiten Menge an Beleuchtungslicht für das eine oder die mehreren Metrologieziele, die auf dem Wafer bei einem zweiten Adsorptionszustand angeordnet sind, der von dem ersten Adsorptionszustand verschieden ist; Empfangen einer zweiten Menge von gesammeltem Licht von dem einen oder den mehreren Metrologiezielen in Antwort auf die zweite Menge an Beleuchtungslicht; Erzeugen eines zweiten Satzes von Messsignalen, der einer zweiten Messung des einen oder der mehreren Metrologieziele zugeordnet ist, wobei der zweite Satz von Messsignalen für die zweite Menge an gesammeltem Licht indikativ ist; und Schätzen eines Werts eines Parameters von Interesse des einen oder der mehreren Metrologieziele, zumindest teilweise basierend auf den ersten und zweiten Sätzen von Messsignalen und einem Multi-Ziel-Messmodell.
  19. Verfahren nach Anspruch 18, wobei die erste Messung des einen oder der mehreren Metrologieziele bei einem ersten Partialdruck des Füllmaterials ausgeführt wird und die zweite Messung des einen oder der mehreren Metrologieziele bei einem zweiten Partialdruck des Füllmaterials ausgeführt wird.
  20. Verfahren nach Anspruch 18, wobei die erste Messung des einen oder der mehreren Metrologieziele mit einem ersten Füllmaterial ausgeführt wird und die zweite Messung des einen oder der mehreren Metrologieziele mit einem zweiten Füllmaterial ausgeführt wird.
  21. Verfahren nach Anspruch 16, wobei das Bereitstellen einer gasförmigen Strömung umfasst: dass unter Blasenbildung ein Spülgas durch ein Bad von flüssigem Füllmaterial bei einer ersten Temperatur strömt, wobei ein Teil des flüssigen Füllmaterials in die gasförmige Strömung verdampft, der dem einen oder den mehreren Metrologiezielen zugeführt wird, wobei das eine oder die mehreren Metrologieziele eine zweite Temperatur aufweisen, die höher als die erste Temperatur ist.
  22. Verfahren nach Anspruch 16, wobei das Bereitstellen einer gasförmigen Strömung umfasst: dass unter Blasenbildung ein Spülgas durch ein Bad strömt, das einen nicht-flüchtigen, gelösten Stoff enthält, der in dem flüssigen Füllmaterial gelöst ist, wobei ein Teil des flüssigen Füllmaterials in die für das eine oder die mehreren Metrologieziele bereitgestellte gasförmige Strömung verdampft.
  23. Verfahren nach Anspruch 16, wobei das Füllmaterial eines von Wasser, Ethanol und Toluol ist.
  24. Verfahren nach Anspruch 16, wobei das Füllmaterial mehrere unterschiedliche Materialien umfasst.
  25. Verfahren nach Anspruch 16, wobei die erste Messung ausgeführt wird, wenn ein Adsorptionsprozess einen Gleichgewichtszustand erreicht hat.
  26. Verfahren nach Anspruch 16, wobei die erste Messung ausgeführt wird, bevor ein Adsorptionsprozess einen Gleichgewichtszustand erreicht hat.
  27. Verfahren nach Anspruch 16, ferner umfassend: Anpassen eines Sättigungsgrads des verdampften Füllmaterials, so dass jegliche Räume zwischen dem einen oder den mehreren geometrischen Strukturmerkmalen unterhalb einer gewünschten maximalen Merkmalsgröße gefüllt werden.
  28. Verfahren nach Anspruch 27, wobei das Anpassen des Sättigungsgrads ein Kontrollieren einer Temperaturdifferenz zwischen dem einen oder den mehreren Metrologiezielen und einem Flüssigkeitsbad des Füllmaterials umfasst.
  29. Ein Verfahren umfassend: Bereitstellen durch ein optisches Messsystem einer ersten gasförmigen Strömung, die ein Füllmaterial in einer Dampfphase umfasst, in Richtung eines oder mehrerer zu vermessenden Metrologieziele, wobei ein Teil des Füllmaterials auf dem einen oder den mehreren Metrologiezielen in einer flüssigen Phase adsorbiert wird, und wobei der Teil des Füllmaterials zumindest einen Teil eines Raums zwischen einem oder mehreren geometrischen, strukturellen Merkmalen des einen oder der mehreren Metrologieziele bei einem ersten Adsorptionszustand füllt; Bereitstellen einer zweiten gasförmigen Strömung durch das optische Messsystem für das eine oder die mehreren zu vermessenden Metrologieziele bei einem zweiten Adsorptionszustand; und Schätzen eines Werts eines interessierenden Parameters des einen oder der mehreren Metrologieziele, zumindest teilweise basierend auf dem ersten Satz von optischen Messsignalen, die von dem einen oder den mehreren Metrologiezielen durch das optische Messsystem bei dem ersten Adsorptionszustand detektiert wurden, einem zweiten Satz von optischen Messsignalen, die von dem einen oder den mehreren Metrologiezielen durch das optische Messsystem bei dem zweiten Adsorptionszustand detektiert wurden, und einem Multi-Ziel-Messmodell.
  30. Verfahren nach Anspruch 29, wobei das Bereitstellen der ersten gasförmigen Strömung ein Bereitstellen des Füllmaterials in einer Dampfphase an das eine oder die mehreren Metrologieziele bei einem ersten Partialdruck umfasst, und wobei das Bereitstellen der zweiten gasförmigen Strömung ein Bereitstellen des Füllmaterials in einer Dampfphase an das eine oder die mehreren Metrologieziele bei einem zweiten Partialdruck umfasst.
  31. Verfahren nach Anspruch 29, wobei das Bereitstellen der zweiten gasförmigen Strömung ein Bereitstellen eines zweiten Füllmaterials in einer Dampfphase an das eine oder die mehreren Metrologieziele umfasst, wobei das zweite Füllmaterial vom ersten Füllmaterial verschieden ist.
DE112017002291.6T 2016-05-02 2017-04-28 Messung der kritischen dimension mittels gasförmiger adsorption Withdrawn DE112017002291T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662330751P 2016-05-02 2016-05-02
US62/330,751 2016-05-02
US15/204,938 2016-07-07
US15/204,938 US10281263B2 (en) 2016-05-02 2016-07-07 Critical dimension measurements with gaseous adsorption
PCT/US2017/030246 WO2017192401A1 (en) 2016-05-02 2017-04-28 Critical dimension measurements with gaseous adsorption

Publications (1)

Publication Number Publication Date
DE112017002291T5 true DE112017002291T5 (de) 2019-01-10

Family

ID=60157398

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017002291.6T Withdrawn DE112017002291T5 (de) 2016-05-02 2017-04-28 Messung der kritischen dimension mittels gasförmiger adsorption

Country Status (7)

Country Link
US (1) US10281263B2 (de)
JP (1) JP6775601B2 (de)
KR (1) KR102221069B1 (de)
CN (1) CN109073569B (de)
DE (1) DE112017002291T5 (de)
TW (1) TWI717505B (de)
WO (1) WO2017192401A1 (de)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10281263B2 (en) * 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
WO2019116147A1 (en) * 2017-12-15 2019-06-20 Indian Institute Of Science Laser based system for studying adsorption kinetics of adsorbent and adsorbate pair
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
WO2020114686A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
CN109799176B (zh) * 2019-01-21 2020-08-14 清华大学 一种精确测量气体在多孔材料孔道内吸附的分析方法
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4568565A (en) * 1984-05-14 1986-02-04 Allied Corporation Light induced chemical vapor deposition of conductive titanium silicide films
JP2502443B2 (ja) * 1991-01-30 1996-05-29 日本鋼管株式会社 エリプソメ―タ及びこれを用いた塗布厚制御方法
US5399379A (en) * 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
AU5855799A (en) 1998-08-28 2000-03-21 Centre For Advanced Technologies Technokom Apparatus and method for determining porosity
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
DE60106675T2 (de) * 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
WO2002025708A2 (en) 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
EP1271605A4 (de) * 2000-11-02 2009-09-02 Ebara Corp Elektronenstrahlgerät und verfahren zur herstellung von halbleiter vorrichtungen mittels eines solchen gerätes.
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US20030000921A1 (en) * 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6684172B1 (en) 2001-12-27 2004-01-27 Advanced Micro Devices, Inc. Sensor to predict void free films using various grating structures and characterize fill performance
US7972483B2 (en) 2001-12-31 2011-07-05 Tokyo Electron Limited Method of fault detection for material process system
US6986280B2 (en) 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
JP3693972B2 (ja) 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
US7102132B2 (en) * 2002-03-20 2006-09-05 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
US7138640B1 (en) 2002-10-17 2006-11-21 Kla-Tencor Technologies, Corporation Method and apparatus for protecting surfaces of optical components
WO2006038472A1 (ja) 2004-10-06 2006-04-13 Ebara Corporation 基板処理装置及び基板処理方法
FR2886015B1 (fr) 2005-05-18 2007-07-13 Commissariat Energie Atomique Procede de mesure de la porosite par ellipsometrie et dispositif mettant en oeuvre un tel procede
US7372559B2 (en) 2005-12-14 2008-05-13 Kla-Tencor Technologies Corp. Systems and methods for inspecting a wafer with increased sensitivity
JP4599342B2 (ja) * 2005-12-27 2010-12-15 エーエスエムエル ネザーランズ ビー.ブイ. 光学装置、リソグラフィ装置、および、デバイス製造方法
US8003408B2 (en) * 2005-12-29 2011-08-23 Intel Corporation Modification of metal nanoparticles for improved analyte detection by surface enhanced Raman spectroscopy (SERS)
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
CN100459087C (zh) * 2006-07-21 2009-02-04 中芯国际集成电路制造(上海)有限公司 确定半导体特征的方法和用于制造集成电路的方法
US7617715B2 (en) 2006-12-21 2009-11-17 The Boeing Company Reference standard for ultrasonic measurement of porosity and related method
KR100870322B1 (ko) * 2007-02-09 2008-11-25 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8699027B2 (en) * 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
JP2009076881A (ja) * 2007-08-30 2009-04-09 Tokyo Electron Ltd 処理ガス供給システム及び処理装置
US7627392B2 (en) 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
JP2010016279A (ja) 2008-07-07 2010-01-21 Dainippon Screen Mfg Co Ltd 基板測定装置
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US20100235114A1 (en) 2009-03-10 2010-09-16 Kla-Tencor Corporation Systems and methods for determining one or more characteristics of a specimen using radiation in the terahertz range
FR2948192B1 (fr) 2009-07-20 2011-07-22 Commissariat Energie Atomique Procede de caracterisation optique
NL2004949A (en) * 2009-08-21 2011-02-22 Asml Netherlands Bv Inspection method and apparatus.
KR101306986B1 (ko) 2010-03-09 2013-09-26 한국전자통신연구원 박막 형성 장치
US8378292B1 (en) 2011-07-28 2013-02-19 Sondex Wireline Limited Neutron porosity measurement devices with semiconductor neutron detection cells and methods
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9255877B2 (en) * 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9245722B2 (en) 2013-09-16 2016-01-26 Georgia Tech Research Corporation SMS probe and SEM imaging system and methods of use
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
JP2015195312A (ja) * 2014-03-31 2015-11-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN104503112B (zh) * 2014-12-16 2017-08-25 昆山国显光电有限公司 阵列基板的修补方法及其系统
US9466464B1 (en) * 2015-01-23 2016-10-11 Multibeam Corporation Precision substrate material removal using miniature-column charged particle beam arrays
CN104835755A (zh) * 2015-04-29 2015-08-12 上海华力微电子有限公司 一种离子注入损伤深度的测试方法
US10145674B2 (en) * 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10281263B2 (en) * 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry

Also Published As

Publication number Publication date
CN109073569B (zh) 2020-12-25
TWI717505B (zh) 2021-02-01
US20170314913A1 (en) 2017-11-02
WO2017192401A1 (en) 2017-11-09
TW201805617A (zh) 2018-02-16
CN109073569A (zh) 2018-12-21
KR102221069B1 (ko) 2021-02-25
JP2019521317A (ja) 2019-07-25
JP6775601B2 (ja) 2020-10-28
US10281263B2 (en) 2019-05-07
KR20180132944A (ko) 2018-12-12

Similar Documents

Publication Publication Date Title
DE112017002291T5 (de) Messung der kritischen dimension mittels gasförmiger adsorption
DE112017002295T5 (de) Porositätsmessung von Halbleiterstrukturen
US10151986B2 (en) Signal response metrology based on measurements of proxy structures
DE112017001846T5 (de) Halbleitermetrologie mit Information von mehreren Prozessschritten
DE112016001982T5 (de) Recheneffiziente auf röntgenstrahlgestützte messung des overlays
TWI703653B (zh) 基於模型之單一參數量測
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
US9875946B2 (en) On-device metrology
KR102317060B1 (ko) 패턴화된 웨이퍼 특성화를 위한 방법 및 장치
US10101670B2 (en) Statistical model-based metrology
DE102005056916B4 (de) Verfahren zum Gestalten einer Überlagerungs-Markierung
DE112017005271T5 (de) Ganzstrahl-metrologie für röntgen-scatterometrie-systeme
DE69922942T2 (de) Messung einer difraktionsstruktur, breitbandig, polarisierend und ellipsometrisch und eine unterliegende struktur
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
DE112017000384T5 (de) Systeme und Verfahren für erweiterte infrarotspektroskopische Ellipsometrie
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
DE112016000410T5 (de) Messsystemoptimierung für röntgenbasierte Metrologie
KR20170067885A (ko) 다중 패턴화 프로세스의 계측
DE10142316A1 (de) Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE112013005358T5 (de) Vorrichtung und Verfahren zur optischen Metrologie mit optimierten Systemparametern
KR20200032249A (ko) 타겟 분해를 사용한 온 디바이스 계측
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
DE10224164B4 (de) Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
DE102013213785A1 (de) Verfahren und System zur Bestimmung von Überlappungsprozessfenstern in Halbleitern durch Inspektionstechniken

Legal Events

Date Code Title Description
R083 Amendment of/additions to inventor(s)
R005 Application deemed withdrawn due to failure to request examination