KR20200032249A - 타겟 분해를 사용한 온 디바이스 계측 - Google Patents

타겟 분해를 사용한 온 디바이스 계측 Download PDF

Info

Publication number
KR20200032249A
KR20200032249A KR1020207007533A KR20207007533A KR20200032249A KR 20200032249 A KR20200032249 A KR 20200032249A KR 1020207007533 A KR1020207007533 A KR 1020207007533A KR 20207007533 A KR20207007533 A KR 20207007533A KR 20200032249 A KR20200032249 A KR 20200032249A
Authority
KR
South Korea
Prior art keywords
structures
measurement
ray
scattering
sub
Prior art date
Application number
KR1020207007533A
Other languages
English (en)
Other versions
KR102381154B1 (ko
Inventor
존 헨치
안토니오 겔리노
알렉산더 쿠즈네초프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200032249A publication Critical patent/KR20200032249A/ko
Application granted granted Critical
Publication of KR102381154B1 publication Critical patent/KR102381154B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/02Dosimeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/29Measurement performed on radiation beams, e.g. position or section of the beam; Measurement of spatial distribution of radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4735Solid samples, e.g. paper, glass

Landscapes

  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Molecular Biology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Investigating Or Analysing Materials By The Use Of Chemical Reactions (AREA)

Abstract

온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정을 위한 방법 및 시스템이 본원에서 제시된다. 측정 영역 위의 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다. 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내의 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 추가적인 양태에서, 주목하는 구조체의 측정을 수행하기 위해, 하나 이상의 부수적 구조체를 포함하는 모델링된 강도 및 측정된 강도가 활용된다. 다른 추가적인 양태에서, 측정 분해는 측정 모델을 트레이닝시키기 위해 그리고 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다.

Description

타겟 분해를 사용한 온 디바이스 계측
관련 출원에 대한 교차 참조
본 특허 출원은, 2017년 8월 14일자로 출원된 발명의 명칭이 "Efficient On-Device Metrology Using Target Decomposition"인 미국 특허 가출원 일련 번호 제62/544,911호로부터의 35 U.S.C. §119하에서의 우선권을 주장하는데, 상기 미국 특허 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
기술 분야
설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히 반도체 구조체의 향상된 측정을 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되지는 않는다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음, 개개의 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 더 높은 수율을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 계측 기반 기술이 일반적으로 사용된다. x 선 산란측정법(x-ray scatterometry) 기술은 샘플 파괴의 위험 없이 높은 스루풋에 대한 잠재성을 제공한다.
전통적으로, 박막 및/또는 반복된 주기적 구조체로 이루어지는 타겟에 대해, 광학적 산란측정법 임계 치수(scatterometry critical dimension; SCR) 측정이 수행된다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복합적인(complex) 삼차원 기하학적 형상 및 다양한 물리적 속성(property)을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사선(optical radiation)이 하부 층(bottom layer)까지 침투하는 것을 어렵게 만드는 고 애스펙트비의 삼차원 구조체이다. 적외선 내지 가시 광선을 활용하는 광학적 계측 툴은 반투명 재료의 많은 층을 침투할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 변형에 대해 충분한 감도를 제공하지 못한다. 또한, 복합 구조체(complex structure)(예를 들면, FinFET)를 특성 묘사하는 데 필요로 되는 파라미터의 증가하는 수는, 증가하는 파라미터 상관 관계로 이어진다. 결과적으로, 타겟을 특성 묘사하는 파라미터는, 종종, 이용 가능한 측정을 통해 신뢰성 있게 분리될 수 없다.
하나의 예에서, 스택의 교대하는 재료 중 하나로서 폴리실리콘을 활용하는 3D FLASH 디바이스에 대한 침투 이슈를 극복하기 위한 시도에서, 더 긴 파장(예를 들면, 근적외선)이 활용되었다. 그러나, 3D FLASH의 미러형 구조체는 본질적으로, 조명이 필름 스택 안으로 더 깊숙이 전파함에 따라 광 강도의 감소를 야기한다. 이것은 깊이에서의 감도 손실 및 상관 관계 이슈를 야기한다. 이 시나리오에서, 광학적 SCD는 높은 민감도 및 낮은 상관 관계를 갖는 계측 치수의 감소된 세트만을 성공적으로 추출할 수 있다.
다른 예에서, 불투명한 고 유전율(high-k) 재료가 현대의 반도체 구조체에 점점 더 많이 활용되고 있다. 광학적 방사선은 종종 이들 재료로 구성되는 층을 침투할 수 없다. 결과적으로, 광학적 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 더 어려워지고 있다.
이들 도전 과제(challenge)에 응답하여, 더욱 복합적인 광학 계측 툴(complex optical metrology tool)이 개발되었다. 예를 들면, 다수의 조명 각도, 더 짧은 조명 파장, 더 큰 범위의 조명 파장, 및 반사된 신호로부터의 더 많은 완전한 정보 획득을 갖춘(예를 들면, 보다 전통적인 반사율 또는 타원편광 해석 신호(ellipsometric signal) 외에 다수의 뮬러(Mueller) 매트릭스 요소를 측정하는) 툴이 개발되었다. 그러나, 이들 접근법(approach)은, 많은 고급 타겟(예를 들면, 복합적인 3D 구조체, 10 nm보다 더 작은 구조체, 불투명한 재료를 활용하는 구조체)의 측정 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 연관되는 근본적인 도전 과제를 신뢰성 있게 극복하지 못하였다.
광학적 방법은 프로세스 단계 사이에서 프로세스 변수의 비파괴적 추적을 제공할 수도 있지만, 그러나 프로세스 드리프트(process drift)의 면에서 정확도를 유지하기 위해서는 파괴적 방법에 의한 규칙적인 캘리브레이션이 필요로 된다.
원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 그들은 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 필요로 한다. 주사 전자 현미경(scanning electron microscopes; SEM)은 중간 분해능 레벨을 달성하지만, 그러나 충분한 깊이까지 구조체를 침투할 수 없다. 따라서, 고 애스펙트비의 홀은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다.
침투 깊이 이슈를 극복하기 위해, TEM, SEM, 등등과 같은 전통적인 이미징 기술은, 집속 이온 빔(focused ion beam; FIB) 기계 가공(machining), 이온 밀링, 블랭킷 또는 선택적 에칭, 등등과 같은 파괴적인 샘플 준비 기술과 함께 활용된다. 예를 들면, 투과 전자 현미경(transmission electron microscopes; TEM)은 높은 분해능 레벨을 달성하고 임의의 깊이를 조사할 수 있지만, 그러나 TEM은 시료의 파괴적인 절단을 필요로 한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 삼차원 구조체 전반에 걸친 임계 계측 파라미터를 측정하는 데 필요로 되는 정보를 제공한다. 그러나, 이들 기술은 샘플 파괴 및 긴 프로세스 시간을 필요로 한다. 복합성 및 이들 타입의 측정을 완료하기 위한 시간은, 에칭 및 계측 단계의 드리프트에 기인하는 큰 부정확성을 도입하는데, 그 이유는, 피측정 웨이퍼 상에서 프로세스가 완료되고 오래 뒤에 측정 결과가 이용 가능하게 되기 때문이다. 따라서, 측정 결과는 추가 프로세싱 및 지연된 피드백으로부터 유래하는 바이어스에 종속된다. 게다가, 이들 기술은 레지스트레이션 에러(registration error)를 도입하는 수많은 반복을 필요로 한다. 요약하면, 디바이스 수율은 SEM 및 TEM 기술에 대해 필요로 되는 길고 파괴적인 샘플 준비에 의해 부정적인 영향을 받는다.
반도체 웨이퍼의 스크라이브 라인(scribe line)에서 제조되는 단순화된 폐기 구조체(throw-away structure)보다는, 온-디바이스 구조체 또는 디바이스와 같은(device-like) 구조체(예를 들면, 반도체 웨이퍼의 활성 영역에서 제조되는 실제 기능적 구조체 또는 프록시 구조체)의 측정을 수행하는 것이 특히 중요하다. 온-디바이스 구조체를 측정하는 것은, 측정된 구조체와 실제 디바이스 구조체 사이의 바이어스를 제거하거나 또는 상당히 감소시키고, 따라서 수율에 대한 계측 상관 관계를 증가시킨다. 온-디바이스 계측은, 더 크고 전문화된 계측 타겟에 대해 필요로 되는 영역을 감소시켜, 기능 디바이스(functional device)에 대해 이용 가능한 웨이퍼 영역을 잠재적으로 증가시킨다. 그러나, 온-디바이스 구조체(예를 들면, 웨이퍼의 활성 영역에 위치되는 구조체)는 측정 프로세스에 의해 손상될 수 없다. 측정을 위해 구조체는 단순화 또는 제거될 수 없다.
소각 X 선 산란측정법(Small-Angle X-Ray Scatterometry; SAXS) 시스템은 까다로운 측정 애플리케이션을 다룰 가망을 보여주었다. SAXS 시스템은 상대적으로 큰 측정 영역에서 비파괴적인 고분해능 측정이 가능하다. 그러나, 온-디바이스 구조체는 단순화된 계측 구조체보다 훨씬 더 복합적이고, 이 복합성은 SAXS 측정에 대한 상당한 모델링 도전 과제를 제기한다.
피측정 구조체의 최대 공통 단위 셀을 측정하고 모델링하는 것이 통상적이다. 이 접근법을 사용하면, 주기성의 전체 범위가 X 선 산란을 계산하도록 모델링된다. 복잡한 주기적 기하학적 모델의 경우, 구조체를 특성 묘사하는 아주 많은 형상이 수학적으로 설명된다. 현재 메모리 애플리케이션에서, 가장 큰 공통 단위 셀은 대략 수십 마이크로미터의 치수를 가질 수도 있고, 한편, 필수 측정 분해능은 대략 옹스트롬이다. 따라서, 실제로, 가장 큰 공통 단위 셀을 모델링하는 것은 매우 복합적이고, 계산적으로 비용이 많이 들고, 에러가 발생하기 쉽다. X 선 산란을 계산하기 위해 활용되는 크고 복잡한 모델은 계산적으로 비용이 많이 드는데, 그 이유는, 큰 주기성은 실제 산란을 정확하게 추정하기 위해 많은 기하학적 피쳐로부터 많은 산란된 차수의 계산을 필요로 하기 때문이다.
요약하면, 피쳐 사이즈에서의 지속적인 감소 및 많은 반도체 구조체의 증가된 깊이는 계측 시스템에 어려운 요건을 부과한다. 비록 SAXS 측정 시스템이 까다로운 측정 애플리케이션을 다룰 가망을 보여주었지만, 측정 모델 복합성은, 비용 효율적이고 시기 적절한 방식에서, 복합적인 주기적 구조체의 주목하는(of interest) 파라미터의 측정을 제한한다. 따라서, 높은 종횡비 구조체를 측정하여 높은 디바이스 수율을 유지하기 위해, 향상된 SAXS 계측 시스템 및 방법이 소망된다.
온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정(scatterometry measurement)을 위한 방법 및 시스템이 본원에서 제시된다. 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟은, 측정과 실제 디바이스 구조체 사이의 바이어스를 방지하기 위해 반드시 복합적이다. 또한, 주어진 인스턴트(instant) 또는 측정 간격에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역은 하나 이상의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 온-디바이스 또는 디바이스와 같은 산란측정법 타겟의 X 선 산란측정법 측정은, 하위 구조체(sub-structure), 측정 하위 영역(measurement sub-area), 또는 둘 모두의 분해된 세트로서 더욱 간단하게 설명된다.
하나의 양태에서, 측정 영역에 걸친 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다.
몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 간단한 주기적 하위 구조체의 합으로서 모델링된다.
몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 동일한 주기성의 상이한 주기적 형상의 합으로서 모델링된다.
몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 상이한 주기성을 갖는 상이한 주기적 형상의 합으로서 모델링된다.
몇몇 실시형태에서, 측정 중인 복잡한 구조체가 거의 주기적 방식으로 다수 회 반복되는 상대적으로 간단한 형상의 합으로서 모델링된다.
몇몇 예에서, 측정 중인 복잡한 구조체가 작은 주기를 갖는 간단한 구조체 및 작은 주기의 정수배인 큰 주기를 갖는 다른 구조적 교란(perturbation)으로서 모델링된다.
몇몇 실시형태에서, 측정 영역은 상이한 구조체 또는 하위 구조체의 조합과 각각 연관되는 다수의 상이한 하위 영역으로 세분된다.
다른 양태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 응답은 독립적으로 시뮬레이팅된다.
또 다른 양태에서, 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내에서 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 분해된 측정 구조체의 임의의 조합과 연관되는 산란 필드는, 기저의(underlying) 구조체(들)의 조명이 가간섭성인지, 비가간섭성인지, 또는 가간섭성과 비가간섭성의 어떤 조합인지의 여부에 따라 상이하게 조합된다.
추가적인 양태에서, 하나 이상의 부수적 구조체(incidental structure)를 포함하는 측정된 강도 및 모델링된 강도가 주목하는 구조체(structures of interest)의 측정을 수행하기 위해 활용된다. 몇몇 예에서, 온-디바이스 측정은 계측을 위한 중요한 타겟 및 복합적이고 부수적인 하층(under-layer) 구조체로 분해된다.
몇몇 예에서, 부수적 구조체로부터의 측정 신호에 의한 오염을 포함하여 중요한 구조체로부터 측정치가 수집된다. 또한, 동일한 부수적인 하층 위에 제조되는 간단한 구조체로부터 측정치가 수집된다. 본원에서 설명되는 바와 같은 측정 분해는, 간단한 구조체와 연관되는 측정 데이터로부터, 중요한 구조체와 연관되는 측정 데이터를 직접적으로 감산하여 부수적 하층과 연관되는 측정 신호를 효과적으로 상쇄시키기 위해 활용된다.
다른 추가적인 양태에서, 부수적 모델은 검출기에서 측정되는 산란된 데이터에 대해 직접적으로 동작하고, 측정된 데이터에 대한 부수적 구조체의 영향을 제거하기 위해 측정된 데이터를 효과적으로 필터링한다. 몇몇 예에서, 부수적 모델은, 부수적 구조체와 연관되는 것으로 알려져 있는 측정된 데이터에서의 관찰된 현상을 설명하기 위해 활용되는 휴리스틱 모델(heuristic model)이다. 측정된 데이터를 필터링한 이후, 결과적으로 나타나는 필터링된 측정 데이터는, 본원에 설명되는 바와 같은 주목하는 파라미터의 모델 기반의 측정의 일부로서 활용된다.
다른 추가적인 양태에서, 측정 분해는, 측정된 산란 강도(scattered intensity)와 하나 이상의 주목하는 파라미터의 값 사이의 함수 관계(functional relationship)를 확립하는 입력-출력 측정 모델을 트레이닝시키기 위해 활용된다.
다른 추가적인 양태에서, 측정 분해는 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다. 최적화된 측정 레시피는, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 측정 시스템의 물리적 파라미터의 선택을 포함한다.
전술한 내용은 개요이며, 따라서, 필요에 의해, 세부 사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기술되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은 본원에서 설명되는 방법에 따라 측정 분해를 수행하도록 구성되는 계측 시스템(100)을 예시하는 다이어그램이다.
도 2는 하나의 구성에서의 빔 성형 슬릿 메커니즘(beam shaping slit mechanism)(120)의 단부 뷰(end view)를 묘사한다.
도 3은 다른 구성에서의 빔 성형 슬릿 메커니즘(120)의 단부 뷰를 묘사한다.
도 4는 각도(φ 및 θ)에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(116)을 묘사한다.
도 5는, 조명 빔(116)이 웨이퍼(101) 상에 입사하는 위치로 웨이퍼 스테이지가 이동된 시료 위치 결정 시스템(specimen positioning system)(140)을 예시하는 다이어그램이다.
도 6은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 측정 분해 엔진(160)을 예시하는 다이어그램이다.
도 7은 피측정 반도체 구조체의 단위 셀(170)을 묘사한다.
도 8a는 다수의 구조체를 포함하는 측정 영역(179)을 묘사한다.
도 8b는 측정 영역(179)에 걸친 조명 강도의 불균일한 분포의 플롯(180)을 묘사한다.
도 9a는 수직 입사 조명(116)을 사용한 복합 반도체 구조체(181)의 측정을 묘사한다.
도 9b는 정상적인 조명을 사용한 복합 반도체 구조체(181)의 측정과 연관되는 산란 강도의 이미지(185)를 묘사한다.
도 10a는 경사 입사 조명(116)을 사용한 동일한 복합 반도체 구조체(181)의 측정을 묘사한다.
도 10b는 경사 조명을 사용한 복합 반도체 구조체(181)의 측정과 연관되는 산란 강도의 이미지(186)를 묘사한다.
도 11a 내지 도 11c는 본원에서 설명되는 바와 같이 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스의 등각 투영도, 상면도, 및 단면도를 각각 묘사한다.
도 12는 본원에서 설명되는 방법에 따라 측정 분해를 수행하도록 구성되는 다른 예시적인 계측 시스템(200)을 묘사한다.
도 13은 본원에서 설명되는 바와 같은 모델 기반의 X 선 산란측정법 측정을 수행하는 예시적인 방법(300)을 예시하는 플로우차트를 묘사한다.
이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태에 대한 상세한 참조가 이루어질 것인데, 본 발명의 몇몇 실시형태의 예는 첨부의 도면에서 예시된다.
온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정을 위한 방법 및 시스템이 본원에서 제시된다. 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟은, 측정과 실제 디바이스 구조체 사이의 바이어스를 방지하기 위해 반드시 복합적이다. 또한, 주어진 인스턴트 또는 측정 간격에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역은 하나 이상의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 그러나, 온-디바이스 또는 디바이스와 같은 산란측정법 타겟의 X 선 산란측정법 측정은, 하위 구조체, 측정 하위 영역, 또는 둘 모두의 분해된 세트로서 더욱 간단하게 설명된다.
도 1은, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 투과 소각 x 선 산란측정법(transmission, small-angle x-ray scatterometry; T-SAXS) 계측 툴(100)의 실시형태를 예시한다. 도 1에서 도시되는 바와 같이, 시스템(100)은 조명 빔 스팟에 의해 조명되는 시료(101)의 측정 영역(102)에 걸쳐 T-SAXS 측정을 수행하기 위해 사용될 수도 있다.
묘사된 실시형태에서, 계측 툴(100)은 T-SAXS 측정에 적절한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(110)를 포함한다. 몇몇 실시형태에서, x 선 조명 소스(110)는 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 일반적으로, T-SAXS 측정을 위한 x 선 조명을 공급하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x 선을 생성할 수 있는 임의의 적절한 고휘도 x 선 조명 소스가 고려될 수도 있다. 몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 하는 튜닝 가능한(tunable) 모노크로메이터(tunable monochromator)를 포함한다.
몇몇 실시형태에서, 전체 디바이스뿐만 아니라 웨이퍼 기판을 통한 충분한 투과를 허용하는 파장에서 x 선 소스가 광을 공급하는 것을 보장하기 위해, 15 keV보다 더 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x 선 소스가 활용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 플라즈마 기반의 소스, 및 역 콤프턴(Compton) 소스 중 임의의 것이 x 선 조명 소스(110)로서 활용될 수도 있다. 하나의 예에서, 미국 캘리포니아 팔로 알토(Palo Alto)의 Lyncean Technologies, Inc.에서 입수 가능한 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는, 일정 범위의 광자 에너지에 걸쳐 x 선을 생성할 수 있고, 그에 의해 x 선 소스가, 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 할 수 있다는 추가적인 이점을 갖는다.
예시적인 x 선 소스는, 고체 또는 액체 타겟에 충격을 주어 x 선 방사선을 자극하도록 구성되는 전자 빔 소스를 포함한다. 고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
X 선 조명 소스(110)는 유한한 횡방향 치수(finite lateral dimension)(즉, 빔 축에 직교하는 넌제로 치수)를 갖는 소스 영역에 걸쳐 x 선 방출을 생성한다. 집속 광학기기(focusing optic)(111)는 소스 방사선을 시료(101) 상에 위치되는 계측 타겟 상으로 집속한다. 유한한 횡방향 소스 치수는, 소스의 에지로부터 유래하는 광선(117)에 의해 정의되는 타겟 상에서 유한한 스팟 사이즈(102)로 나타난다. 몇몇 실시형태에서, 집속 광학기기(111)는 타원 형상의 집속 광학 엘리먼트를 포함한다.
집속 광학기기(111)와 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 빔 발산 제어 슬릿(112)이 위치된다. 빔 발산 제어 슬릿(112)은 피측정 시료에 제공되는 조명의 발산을 제한한다. 추가적인 중간 슬릿(113)은, 빔 발산 제어 슬릿(112)과 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가적인 빔 성형을 제공한다. 그러나, 일반적으로, 중간 슬릿(113)은 옵션 사항(optional)이다.
빔 성형 슬릿 메커니즘(120)는, 빔 경로에서 시료(101) 직전에 위치된다. 하나의 양태에서, 빔 성형 슬릿 메커니즘(120)의 슬릿은, 유한한 소스 사이즈에 의해 정의되는 빔 발산에 기인하는 입사 빔 스팟 사이즈의 확대를 최소화하기 위해, 시료(101)에 근접하게 위치된다. 하나의 예에서, 유한한 소스 사이즈에 의해 생성되는 그림자에 기인하는 빔 스팟 사이즈의 확장은, 10 마이크로미터 x 선 소스 사이즈 및 빔 성형 슬릿과 시료(101) 사이의 25 밀리미터의 거리에 대해 대략 1 마이크로미터이다.
몇몇 실시형태에서, 빔 성형 슬릿 메커니즘(120)는 다수의 독립적으로 작동되는 빔 성형 슬릿(즉, 블레이드)을 포함한다. 하나의 실시형태에서, 빔 성형 슬릿 메커니즘(120)은 네 개의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 이들 네 개의 빔 성형 슬릿은, 유입하는 빔(115)의 일부를 효과적으로 차단하고 박스 형상의 조명 단면을 갖는 조명 빔(116)을 생성한다.
도 2 및 도 3은, 두 개의 상이한 구성으로 도 1에서 묘사되는 빔 성형 슬릿 메커니즘(120)의 단부 뷰를 묘사한다. 도 2 및 도 3에서 예시되는 바와 같이, 빔 축은 도면 페이지에 수직이다. 도 2에서 묘사되는 바와 같이, 유입하는 빔(115)은 큰 단면을 갖는다. 몇몇 실시형태에서, 유입하는 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 더구나, 빔 성형 슬릿(126-129) 내에서 유입하는 빔(115)의 위치는, 빔 포인팅 에러에 기인하여 대략 3 밀리미터의 불확실성을 가질 수도 있다. 유입하는 빔의 사이즈와 빔 위치의 불확실성을 수용하기 위해, 각각의 슬릿은 대략 6 밀리미터의 길이 L을 갖는다. 도 2에서 묘사되는 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 2의 예시에서, 슬릿(126-129)은 빔 축으로부터 최대 거리에 위치되는데 즉, 슬릿은 완전히 개방되고 그들은 빔 성형 슬릿 메커니즘(120)을 통과하는 광을 제한하지 않는다.
도 3은, 피측정 시료로 전달되는 유출하는 빔(outgoing beam)(116)이 감소된 사이즈 및 잘 정의된 형상을 가지도록, 유입하는 빔(115)의 일부를 차단하는 위치에서의 빔 성형 슬릿 메커니즘(120)의 슬릿(126-129)을 묘사한다. 도 3에서 묘사되는 바와 같이, 슬릿(126-129)의 각각은 소망되는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동하였다.
슬릿(126-129)은, 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는, 게르마늄, 갈륨 비화물, 인듐 인화물, 등등과 같은 단결정 재료를 포함한다. 통상적으로, 슬릿 재료는, 구조적 경계를 가로지르는 산란을 최소화하기 위해, 톱으로 절단되기(sawn) 보다는, 결정학적 방향(crystallographic direction)을 따라 쪼개진다. 또한, 슬릿은, 유입하는 방사선과 슬릿 재료의 내부 구조체 사이의 상호 작용이 최소량의 산란을 생성하도록, 유입하는 빔에 대하여 배향된다. 결정은, 슬릿의 한쪽 면 상에서의 x 선 빔의 완전한 차단을 위해, 고밀도 재료(예를 들면, 텅스텐)로 만들어진 각각의 슬릿 홀더에 부착된다. 몇몇 실시형태에서, 각각의 슬릿은, 대략 0.5 밀리미터의 폭 및 대략 1-2 밀리미터의 높이를 갖는 직사각형 단면을 갖는다. 도 2에서 묘사되는 바와 같이, 슬릿의 길이 L은 대략 6 밀리미터이다.
일반적으로, x 선 광학기기는 x 선 방사선을 성형하여 시료(101)로 지향시킨다. 몇몇 예에서, x 선 광학기기는, 시료(101) 상에 입사하는 x 선 빔을 단색화하는(monochromatize) x 선 모노크로메이터를 포함한다. 몇몇 예에서, x 선 광학기기는 다층 x 선 광학기기를 사용하여 시료(101)의 측정 영역(102) 상으로의 x 선 빔을 1 밀리라디안 미만의 발산으로 시준 또는 집속한다. 이들 예에서, 다층 x 선 광학기기도 빔 모노크로메이터로서 또한 기능한다. 몇몇 실시형태에서, x 선 광학기기는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐(aperture), x 선 빔 정지부(x-ray beam stop), 굴절 x 선 광학기기, 구역 플레이트와 같은 회절 광학기기, 몬텔(Montel) 광학기기, 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 x 선 광학기기(specular x-ray optic), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학기기(polycapillary optic), 다층 광학기기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가적인 세부 사항은 미국 특허 공개 공보 제2015/0110249호에서 설명되는데, 이 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
x 선 검출기(119)는 시료(101)로부터 산란되는 x 선 방사선(114)을 수집하고, T-SAXS 측정 양상(T-SAXS measurement modality)에 따라 입사 x 선 방사선에 민감한 시료(101)의 속성을 나타내는 출력 신호(135)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(114)은 x 선 검출기(119)에 의해 수집되고, 한편 시료 위치 결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치시키고 배향시킨다.
몇몇 실시형태에서, T-SAXS 시스템은 높은 다이나믹 레인지(예를 들면, 105 초과)를 갖는 하나 이상의 광자 카운팅 검출기(photon counting detector)를 포함한다. 몇몇 실시형태에서, 단일의 광자 카운팅 검출기는 검출된 광자의 위치 및 수를 검출한다.
몇몇 실시형태에서, x 선 검출기는 하나 이상의 x 선 광자 에너지를 분해하고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(119)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내의 X 선 광자 상호 작용은, 픽셀 위치 및 카운트의 수 외에 에너지에 의해 구별된다. 몇몇 실시형태에서, X 선 광자 상호 작용은, X 선 광자 상호 작용의 에너지를, 미리 결정된 상한 임계 값 및 미리 결정된 더 낮은 임계 값과 비교하는 것에 의해 구별된다. 하나의 실시형태에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 x 선 빔(116)의 각각의 방위는, x 선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 두 개의 각도 회전에 의해 설명되거나, 또는 그 반대도 가능하다. 하나의 예에서, 방위는 웨이퍼에 고정되는 좌표 시스템에 대하여 설명될 수 있다. 도 4는 입사각인 θ 및 방위각인 φ에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(116)을 묘사한다. 좌표 프레임 XYZ는, 계측 시스템(예를 들면, 조명 빔(116))에 고정되고 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면에서 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 도 4에서 묘사되는 바와 같이, x 선 조명 빔(116)은 Z 축과 정렬되고 따라서 XZ 평면 내에 놓인다. 입사각인 θ는, XZ 평면에서 웨이퍼의 표면 법선에 대한 x 선 조명 빔(116)의 방위를 설명한다. 더구나, 방위각인 φ는, X'Z' 평면에 대한 XZ 평면의 방위를 설명한다. 정리하면, θ 및 φ는, 웨이퍼(101)의 표면에 대한 x 선 조명 빔(116)의 방위를 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 하는 회전 및 웨이퍼(101)의 표면과 정렬되는 축(즉, Y 축)을 중심으로 하는 회전에 의해 설명된다. 몇몇 다른 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면과 정렬되는 제1 축 및 웨이퍼(101)의 표면과 정렬되며 제1 축에 수직인 다른 축을 중심으로 하는 회전에 의해 설명된다.
도 1에서 예시되는 바와 같이, 계측 툴(100)은 조명 빔(116)에 대하여 큰 범위의 입사각 및 방위각에 걸쳐 시료(101)를 정렬시키는 것 및 시료(101)를 배향하는 것 둘 모두를 하도록 구성되는 시료 위치 결정 시스템(140)을 포함한다. 몇몇 실시형태에서, 시료 위치 결정 시스템(140)은 시료(101)의 표면과 평면 내에서 정렬되는 큰 범위의 회전 각도(예를 들면, 적어도 60도)에 걸쳐 시료(101)을 회전시키도록 구성된다. 이러한 방식에서, 시료(101)의 각도 분해된 측정치는, 시료(101)의 표면 상의 임의의 수의 위치 및 방위에 걸쳐 계측 시스템(100)에 의해 수집된다. 하나의 예에서, 컴퓨팅 시스템(130)은 시료(101)의 소망되는 위치를 나타내는 시료 위치 결정 시스템(140)에 커맨드 신호(도시되지 않음)를 전달한다. 응답에서, 시료 위치 결정 시스템(140)은, 시료(101)의 소망되는 위치 결정을 달성하기 위해 시료 위치 결정 시스템(140)의 다양한 액추에이터로의 커맨드 신호를 생성한다.
도 5는 하나의 실시형태에서의 시료 위치 결정 시스템(140)을 묘사한다. 도 5에서 묘사되는 바와 같이, 시료 위치 결정 시스템(140)은 베이스 프레임(141), 횡방향 정렬 스테이지(142), 스테이지 기준 프레임(143), 및 웨이퍼 스테이지(144)를 포함한다. 참조 목적을 위해, {XBF, YBF, ZBF} 좌표 프레임은 베이스 프레임(141)에 부착되고, {XNF, YNF, ZNF} 좌표 프레임은 횡방향 정렬 스테이지(142)에 부착되고, {XRF, YRF, ZRF} 좌표 프레임은 스테이지 기준 프레임(143)에 부착되고, {XSF, YSF, ZSF} 좌표 프레임은 웨이퍼 스테이지(144)에 부착된다. 웨이퍼(101)는 액추에이터(150A-C)를 포함하는 팁 틸트 Z 스테이지(tip-tilt-Z stage)(156)에 의해 웨이퍼 스테이지(144) 상에서 지지된다. 팁 틸트 Z 스테이지(156)에 장착되는 회전 스테이지(158)는, 조명 빔(116)에 대하여, 방위각 φ의 범위에 걸쳐 웨이퍼(101)를 배향시킨다. 묘사된 실시형태에서, 세 개의 선형 액추에이터(150A-C)가 웨이퍼 스테이지(144)에 장착되고 회전 스테이지(158)를 지지하는데, 회전 스테이지(158)는, 이어서, 웨이퍼(101)를 지지한다.
액추에이터(145)는 XBF 축을 따라 베이스 프레임(141)에 대하여 횡방향 정렬 스테이지(142)를 병진시킨다(translate). 회전 액추에이터(rotary actuator)(146)는 YNF 축을 가지고 정렬되는 회전 축(153)을 중심으로 횡방향 정렬 스테이지(142)에 대하여 스테이지 기준 프레임(143)을 회전시킨다. 회전 액추에이터(146)는 조명 빔(116)에 대하여, 일정 범위의 입사각(θ)에 걸쳐 웨이퍼(101)를 배향시킨다. 웨이퍼 스테이지 액추에이터(147 및 148)는, 각각, XRF 및 YRF 축을 따라 스테이지 기준 프레임(143)에 대하여 웨이퍼 스테이지(144)를 병진시킨다. 액추에이터(150A-C)는, 협조하여, ZSF 방향에서 웨이퍼 스테이지(144)에 대하여 회전 스테이지(158) 및 웨이퍼(101)를 병진시키고 XSF-YSF 평면과 동일 평면 상의 축을 중심으로 웨이퍼 스테이지(144)에 대하여 회전 스테이지(158) 및 웨이퍼(101)를 기울이고 경사지게 한다. 회전 스테이지(158)는 웨이퍼(101)의 표면에 수직인 축을 중심으로 웨이퍼(101)를 회전시킨다.
요약하면, 웨이퍼 스테이지(144)는, 조명 빔(116)이 웨이퍼(101)의 표면 상의 임의의 위치(즉, XRF 및 YRF 방향에서 적어도 300 밀리미터 범위)에 입사할 수도 있도록 조명 빔(116)에 대하여 웨이퍼(101)를 이동시킬 수 있다. 회전 액추에이터(146)는, 조명 빔(116)이 큰 범위의 입사각(예를 들면, 2 도보다 더 큼) 중 임의의 것에서 웨이퍼(101)의 표면에 입사될 수도 있도록 조명 빔(116)에 대하여 스테이지 기준 프레임(143)을 회전시킬 수 있다. 하나의 실시형태에서, 회전 액추에이터(146)는 적어도 60 도의 범위에 걸쳐 스테이지 기준 프레임(143)을 회전시키도록 구성된다. 웨이퍼 스테이지(144)에 장착되는 회전 액추에이터(158)는, 조명 빔(116)이 큰 범위의 방위각(예를 들면, 적어도 90도 회전 범위) 중 임의의 것에서 웨이퍼(101)의 표면에 입사될 수도 있도록, 조명 빔(116)에 대하여 웨이퍼(101)를 회전시킬 수 있다.
몇몇 다른 실시형태에서, 횡방향 정렬 스테이지(142)가 제거되고 스테이지 기준 프레임(143)은 회전 액추에이터(146)에 의해 베이스 프레임(141)에 대하여 회전된다. 이들 실시형태에서, x 선 조명 시스템은, x 선 조명 빔(116)으로 하여금, 예를 들면, XBF 방향에서, 베이스 프레임(141)에 대하여 이동하게 하는 x 선 조명 시스템의 하나 이상의 광학 엘리먼트를 이동시키는 하나 이상의 액추에이터를 포함한다. 이들 실시형태에서, 스테이지 기준 스테이지(143)의 움직임은, 예를 들면, x 선 조명 빔을 회전 축(153)에 대하여 소망되는 위치로 이동시키기 위해 x 선 조명 시스템의 하나 이상의 광학 엘리먼트의 움직임으로 대체된다.
묘사된 실시형태에서, 빔 성형 슬릿 메커니즘(120)은, 각각의 입사각, 방위각, 또는 둘 모두에 대한 입사 빔의 프로파일을 최적화하기 위해 시료의 방위와 협조하여 빔 축을 중심으로 회전하도록 구성된다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상에 매칭된다. 도 5에서 묘사되는 바와 같이, 회전 액추에이터(122)는 조명 빔의 축(116)을 중심으로 프레임(120) 및 모든 부착된 메커니즘, 액추에이터, 센서, 및 슬릿을 회전시킨다.
추가적인 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 1에서 묘사되는 바와 같이, 시스템(100)은 검출기(119)에 의해 생성되는 신호(135)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하고 결정된 주목하는 파라미터(139)를 메모리(예를 들면, 메모리(190))에 저장하기 위해 활용되는 컴퓨팅 시스템(130)을 포함한다.
몇몇 실시형태에서, SAXS에 기초한 계측은, 측정된 데이터를 갖는 미리 결정된 측정 모델의 역해(inverse solution)에 의해 샘플의 치수를 결정하는 것을 수반한다. 측정 모델은 몇몇(대략 열 개) 조정 가능한 파라미터를 포함하며 시료의 기하학적 형상과 광학적 속성 및 측정 시스템의 광학적 속성을 나타낸다. 역해의 방법은, 모델 기반의 회귀, 단층 촬영, 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 그러나 이들로 제한되지는 않는다. 이러한 방식에서, 타겟 프로파일 파라미터는, 측정된 산란된 x 선 강도와 모델링된 결과 사이의 에러를 최소화하는 파라미터화된 측정 모델(parameterized measurement model)의 값을 분해하는 것에 의해 추정된다.
몇몇 실시형태에서, 측정 모델은 피측정 타겟으로부터의 산란을 나타내는 이미지를 생성하는 측정의 전자기 모델(예를 들면, 보른 웨이브 모델(Born Wave Model))이다. 예를 들면, 도 9b 및 도 10b에서 묘사되는 이미지(185 및 186)는 피측정 타겟으로부터의 산란을 나타내는 이미지이다. 모델링된 이미지는 프로세스 제어 파라미터(예를 들면, 에칭 시간, 에칭 기울기, 에칭 선택도, 퇴적 레이트, 초점, 조사량(dosage), 등등)에 의해 파라미터화될 수도 있다. 모델링된 이미지는 또한, 측정된 구조체의 구조적 파라미터(예를 들면, 높이, 상이한 높이에서의 직경, 다른 구조체에 대한 홀의 정렬, 홀 피쳐의 직진성, 홀 피쳐의 동심도(concentricity), 깊이의 함수로서의 퇴적된 층의 두께, 특정한 홀 피쳐에 걸친 또는 상이한 홀 피쳐 사이의 퇴적된 층의 균일성, 등등)에 의해 파라미터화될 수도 있다.
측정된 산란 이미지는 역해를 수행하는 것에 의해 주목하는 파라미터 중 하나 이상의 값을 추정하기 위해 활용된다. 몇몇 예에서, 역해는, 측정된 이미지와 가장 매치하는 모델링된 산란 이미지를 생성하는, 프로세스 파라미터, 기하학적 파라미터, 또는 둘 모두의 값을 추정한다. 몇몇 예에서, 산란 이미지의 공간은 회귀 방법(예를 들면, 경사 하강법, 등등)에 의해 측정 모델을 사용하여 검색된다. 몇몇 예에서, 사전 계산된 이미지의 라이브러리가 생성되고, 라이브러리는 모델링된 이미지와 측정된 이미지 사이의 최상의 매치로 나타나는 주목하는 파라미터 중 하나 이상의 값을 찾기 위해 검색된다.
몇몇 다른 예에서, 측정 모델은 산란 이미지의 많은 샘플 및 공지된 프로세스 조건, 기하학적 파라미터 값, 또는 양자를 연관시키기 위해 머신 러닝 알고리즘에 의해 트레이닝된다. 이러한 방식으로, 트레이닝된 측정 모델은, 측정된 산란 이미지를, 프로세스 파라미터, 기하학적 파라미터 또는 둘 모두의 추정된 값에 매핑한다. 몇몇 예에서, 트레이닝된 측정 모델은, 실제 측정치와 주목하는 파라미터 사이의 직접적인 함수 관계를 정의하는 신호 응답 계측(signal response metrology; SRM) 모델이다.
일반적으로, 본원에서 설명되는 트레이닝된 모델 중 임의의 것은 신경망 모델로서 구현된다. 다른 예에서, 트레이닝된 모델 중 임의의 것은, 선형 모델, 비선형 모델, 다항식 모델, 응답 표면 모델(response surface model), 지원 벡터 머신 모델(support vector machines model), 결정 트리 모델(decision tree model), 랜덤 포레스트 모델(random forest model), 딥 네트워크 모델(deep network model), 컨볼루션 네트워크 모델(convolutional network model), 또는 다른 타입의 모델로서 구현될 수도 있다.
몇몇 예에서, 본원에서 설명되는 트레이닝된 모델 중 임의의 것은 모델의 조합으로서 구현될 수도 있다. 모델 트레이닝의 추가적인 설명 및 반도체 측정을 위한 트레이닝된 측정 모델의 사용은, Pandev 등등에 의한 미국 특허 공개 번호 제2016/0109230호에서 제공되는데, 이 특허 출원의 내용은 참조에 의해 그 전체가 본원에 통합된다.
몇몇 다른 예에서, 사전 고려된 기하학적 형상 및 재료 분포를 포함하지 않는 자유 형태 모델은, 피측정 구조체의 기하학적 형상 및 재료 파라미터를 설명한다. 몇몇 예에서, 모델은 각각 독립적으로 조정 가능한 재료 파라미터 값(예를 들면, 전자 밀도, 흡수율, 또는 복소 굴절률(complex refractive index))을 갖는 많은 작은 복셀(부피 요소)을 포함한다. 몇몇 다른 실시형태에서, 재료 속성은 구분적으로(piecewise) 일정하다. 각각의 상이한 재료와 연관되는 속성은 선험적으로(a priori) 결정된다. 상이한 재료 사이의 경계는 자유 형태의 표면이며, 이들 표면은 레벨 설정 알고리즘에 의해 결정될 수 있다.
측정된 산란측정법 데이터는 샘플의 이미지를 계산하기 위해 사용된다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이들 재료 특성의 조합의 이차원(two dimensional; 2-D) 맵이다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이들 재료 특성의 조합의 삼차원(three dimensional; 3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약을 사용하여 생성된다. 이들 기술은 Sezginer 등등에 의한 미국 특허 공개 번호 제2015/0300965호에서 더욱 상세하게 설명되는데, 이 미국 특허의 주제는 참조에 의해 그 전체가 본원에 통합된다.
몇몇 실시형태에서, 측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위해, 큰 범위의 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은, 평면 방위를 벗어나는 다양한 큰 각도를 포함하도록 분석에 이용 가능한 데이터 세트의 수와 다양성을 확장시키는 것에 의해, 파라미터 사이의 상관 관계를 감소시킨다. 예를 들면, 법선 방위에서, T-SAXS는 피쳐의 임계 치수를 분해할 수 있지만, 그러나 피쳐의 측벽 각도 및 높이에 대해서는 크게 민감하지 않다. 그러나, 광범위한 평면 외 각도 방위에 걸쳐 측정 데이터를 수집하는 것에 의해, 피쳐의 측벽 각도 및 높이가 분해될 수 있다. 다른 예에서, 큰 범위의 입사각 및 방위각에서 수행되는 측정은, 그들의 전체 깊이를 통해 고 애스펙트비 구조체를 특성 묘사하기에 충분한 분해능 및 침투 깊이를 제공한다.
회절된 방사선의 강도의 측정치가, 웨이퍼 표면 법선에 대한 x 선 입사각의 함수로서 수집된다. 다수의 회절 차수에 포함되는 정보는 통상적으로 고려 하에 있는 각각의 모델 파라미터 사이에서 고유하다. 따라서, x 선 산란은 작은 에러 및 감소된 파라미터 상관 관계를 갖는 주목하는 파라미터의 값에 대한 추정 결과를 산출한다.
하나의 양태에서, 측정 영역에 걸친 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 측정 영역은 주어진 인스턴트 또는 측정 간격(예를 들면, 개개의 측정을 위한 데이터 수집의 지속 기간)에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역이다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다. 보른 근사법(Born Approximation)으로 정확하게 표현되는 X 선 산란측정법 측정 애플리케이션의 경우, 하위 구조체, 하위 영역, 또는 둘 모두의 독립적 특성에 기초하여 충분히 정확한 계측 모델이 생성된다. X-Ray의 약한 산란에 기인하여, 반도체 구조체의 SAXS 측정은 보른 근사법을 일반적으로 따른다.
보른 근사법으로 정확하게 표현되는 주기적 구조체의 X 선 산란측정법 측정의 경우, 구조체의 주어진 높이에서 구조체의 무한소 슬라이스(infinitesimal slice)로부터의 주어진 산란 차수에 대한 필드 강도는 주기적 구조체의 푸리에(Fourier) 계수에 선형적으로 비례한다. 총 필드 강도는 수직 방향에서의 모든 강도의 통합을 필요로 한다. 통합이 선형적 연산이기 때문에, 임의의 레이어로부터의 필드는 선형적으로 누산된다. 유사하게, 보른 근사법으로 정확하게 표현되는 거의 주기적 구조체의 X 선 산란측정법 측정의 경우, 주어진 산란 차수에 대한 필드 강도는, 순수한 주기적 구조체와 동일한 양식으로 거의 주기적 구조체의 푸리에 계수의 근사에 선형적으로 비례한다. 따라서, 산란과 특정한 주기적, 또는 거의 주기적 구조체 사이에 선형적 관계가 존재한다. 예를 들면, 서로의 상부에 적층되는 두 개의 주기적 구조체의 산란은, 각각의 개개의 주기적 구조체로부터의 산란의 선형적 조합이다.
도 6은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 측정 분해 엔진(160)을 예시하는 다이어그램이다. 도 6에서 묘사되는 바와 같이, 측정 분해 엔진(160)은, 다수의 분해된 측정 엘리먼트(S1, …, SN)의 각각과 연관되는 구조체 모델(structural model)을 생성하는 구조체 분해 모듈(structural decomposition module)(161)을 포함하는데, 여기서 N은 임의의 적절한 정수 값이다. 몇몇 예에서, 분해된 측정 엘리먼트는 피측정 구조체의 하위 구조체이다. 몇몇 다른 예에서, 분해된 측정 엘리먼트는 측정 영역의 하위 영역 내에서 측정되는 임의의 구조체(들) 또는 하위 구조체(들)를 포함한다. 몇몇 실시형태에서, 구조체 모델(즉, 1621, …, 162N) 중 하나 이상은, 하위 영역(들) 내의 측정된 하위 구조체(들), 구조체(들) 또는 하위 구조체(들), 또는 양자와 연관되는 재료 속성을 또한 포함한다. 각각의 구조체 모델(1621, …, 162N)은 대응하는 응답 모듈(1631, …, 163N)로 전달된다. 각각의 응답 모듈(1631, …, 163N)은 각각의 분해된 측정 엘리먼트(S1, …, SN)에 대응하는 산란 응답(1641, …, 164N)을 독립적으로 생성한다.
몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체는 간단한 주기적 하위 구조체의 합산으로서 모델링된다. 이들 실시형태에서, 구조체 분해 모듈(161)은 간단한 주기적 하위 구조체의 각각과 연관되는 구조체 모델을 생성한다. 이들 하위 구조체의 각각과 연관되는 산란은 독립적으로 시뮬레이팅된다. 복잡한 주기적 기하학적 형상의 구조체의 경우, 복잡한 구조체를 근사하기 위해, 여러 가지 간단한 주기적 형상이 서로 끼워 맞춰진다. 이러한 방식으로, 복잡한 구조체는 여러 가지 간단한 주기적 형상의 합산에 의해 수학적으로 근사된다. 이러한 방식으로, 모델링된 X 선 산란은 복잡한 구조체의 산란과 본질적으로 동일하다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 동일한 주기성의 상이한 주기적 형상이 활용된다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 상이한 주기성을 갖는 상이한 주기적 형상이 활용된다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 거의 주기적 방식으로 다수 회 반복되는 상대적으로 간단한 형상이 활용된다.
몇몇 예에서, 복잡한 형상은 주기적으로 복제되는 간단한 형상을 포함하는 주기적 모델의 총 부피의 아주 조금도 소비하지 않는다. 이들 예 중 일부에서, 복잡한 주기적 구조체는 작은 주기를 갖는 간단한 구조체 및 작은 주기의 정수배인 큰 주기를 갖는 다른 구조적 교란으로서 근사된다. 이러한 방식으로, 중첩하는(즉, Q 공간(Q-space)에서의 측정과 동일한 산란 각도를 공유하는) 작은 주기 및 큰 주기의 산란된 차수가 합산된다.
도 7은 피측정 반도체 구조체의 단위 셀(170)을 묘사한다. 각각의 단위 셀(170)은 매립된 라인 구조체(172) 상에 제조되는 콘택 구조체(contact structure)(171)의 어레이를 포함한다. 매립된 라인 구조체(172)는 상이한 재료의 블록(174)에 의해 주기적으로 중단되는(interrupted) 재료의 라인(173)을 포함한다. 매립된 라인 구조체(172)의 공간 주기성은 콘택(171)의 공간 주기성보다 상당히 더 크다. 하나의 예에서, 매 10 번째 콘택은 재료의 블록(174)에 대응한다. 이 예에서, 콘택의 어레이(171)의 매 10 번째 산란된 차수는, 상이한 재료의 각각의 블록의 각각의 산란된 차수와 중첩한다(즉, Q 공간에서의 측정과 동일한 산란 각도를 공유함). 이 예에서, 계측 구조체는 반복되는 일련의 단위 셀(170)이다. 이 예에서, 전체 계측 구조체가 아닌, 단지 단위 셀(170)만이 모델링된다. 더구나, 각각의 상이한 하위 구조체(즉, 매립된 라인 구조체(172) 및 콘택 구조체(171)의 어레이)와 연관되는 산란은 Q 공간에서 합산된다. 이러한 방식으로, 각각의 상이한 하위 구조체와 연관되는 산란은 독립적으로 시뮬레이팅되고 계측 구조체의 산란의 추정치에 도달하도록 합산된다.
측정 영역은 다수의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 몇몇 실시형태에서, 측정 영역은 상이한 구조체 또는 하위 구조체의 조합과 각각 연관되는 다수의 상이한 하위 영역으로 세분된다. 이들 실시형태에서, 구조체 분해 모듈(161)은 하위 영역의 각각 또는 각각의 하위 영역의 각각의 하위 구조체와 연관되는 구조체 모델을 생성한다. 이들 하위 영역의 각각과 연관되는 산란은 독립적으로 시뮬레이팅된다.
도 8a는 구조체(178) 및 구조체(176 및 177)의 일부를 포함하는 측정 영역(179)을 묘사한다. 몇몇 예에서, 조명 강도(illumination intensity)는 측정 영역(179)에 걸쳐 균일하다. 이들 예에서, 각각의 영역으로부터의 강도 기여는 각각의 하위 영역의 면적과 비례한다. 예를 들면, 측정 영역(179)의 배경과 연관되는 하위 영역은 측정 영역의 20 %이고, 구조체(176)와 연관되는 하위 영역은 측정 영역(179)의 60 %이고, 구조체(177)와 연관되는 하위 영역은 측정 영역(179)의 10 %이고, 구조체(178)와 연관되는 하위 영역은 측정 영역(179)의 10 %이다. 그러나, 몇몇 다른 예에서, 조명 강도는 측정 영역(179)에 걸쳐 균일하지 않다. 예를 들면, 도 8b는 측정 영역(179)에 걸쳐 균일하지 않은 분포의 조명 강도의 플롯(180)을 묘사한다. 이들 예에서, 각각의 영역으로부터의 강도 기여는 측정 영역의 각각의 상이한 하위 영역의 강도 분포를 통합하여 각각의 영역으로부터의 강도 기여를 결정하는 것에 의해 계산된다.
다른 양태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 응답은 독립적으로 시뮬레이팅된다. 도 6에서 묘사되는 바와 같이, 비제한적인 예로서, 각각의 응답 모듈(1631, …, 163N)은 각각의 분해된 측정 엘리먼트에 대응하는 산란 응답(1641, …, 164N)을 독립적으로 생성한다. 일반적으로, 각각의 분해된 구조체와 연관되는 복합 산란 필드(complex scattered field)는 독립적으로 계산된다. 일반적으로, 임의의 적절한 전자기 모델링 솔버(solver)(예를 들면, 유한 요소법(Finite Element Method; FEM), 정밀한 결합파 해석(Rigorous Coupled Wave Analysis; RCWA), 보른 분석(Born Analysis), 등등)를 사용하여 각각의 분해된 측정 엘리먼트와 연관되는 산란 필드(scattered field)를 시뮬레이팅하기 위해, 각각의 분해된 각각의 측정 엘리먼트의 푸리에 변환이 계산되어 사용된다. 바람직한 실시형태에서, 각각의 결과적으로 나타나는 산란 필드는, 검출기에서 각각의 분해 측정 엘리먼트와 연관되는 산란 필드의 추정치에 도달하도록 시스템 모델을 통해 전파된다. 몇몇 다른 실시형태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 필드는 타겟에서 결합되고 결합된 산란 필드는 검출기에서 결합된 산란 필드의 추정치에 도달하도록 시스템 모델을 통해 전파된다.
또 다른 양태에서, 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내에서 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 도 6에서 묘사되는 바와 같이, 비제한적인 예로서, 신호 재조합 모듈(signal recombination module)(165)이 각각의 분해된 측정 엘리먼트에 대응하는 산란 응답의 조합에 대한 모델링된 강도(166)를 검출기에서 추정한다.
일반적으로, 분해된 측정 구조체의 임의의 조합과 연관되는 산란 필드는, 기저의 구조체(들)의 조명이 가간섭성인지, 비가간섭성인지, 또는 가간섭성과 비가간섭성의 어떤 조합인지의 여부에 따라 상이하게 조합된다. 다시 말하면, 분해된 측정 구조체로부터의 모든 간섭 파(interfering wave)의 경로가 조명 소스의 가간섭성(coherence) 길이보다 더 많이 차이가 나는 경우, 조명은 완벽하게 비가간섭성이다(incoherent). 분해된 측정 구조체로부터의 모든 간섭 파의 경로가 조명 소스의 가간섭성 길이보다 더 작게 차이가 나는 경우, 조명은 완전히 가간섭성이다. 분해된 측정 구조체로부터의 일부 간섭 파의 경로가 조명 소스의 간섭 길이보다 더 작게 차이가 나고, 분해된 측정 구조체로부터의 일부 간섭 파가 조명 소스의 가간섭성 길이보다 더 많이 차이가 나는 경우, 조명은 가간섭성인 것 및 비가간섭성인 것의 조합이다.
예로서, 분해된 측정 구조체(S1)와 연관되는 산란 필드의 산란 진폭은 A1에 의해 주어진다. 유사하게, 분해된 측정 구조체(S2)와 연관되는 산란 필드의 산란 진폭은 A2에 의해 주어진다.
분해된 측정 구조체(S1 및 S2)의 조명이 가간섭성인 것으로 간주되는 경우, q 공간의 동일한 지점에서의 결합된 강도는, 식 (1)에 의해 예시되는 바와 같이 산란 진폭의 합의 복소 켤레에 의해 승산되는 산란 진폭의 합으로서 계산된다.
Figure pct00001
분해된 측정 구조체(S1 및 S2)의 조명이 비가간섭성인 것으로 간주되면, q 공간의 동일한 지점에서의 결합된 강도는, 식(2)에 의해 예시되는 바와 같이 자신의 복소 켤레에 의해 승산되는 각각의 산란 진폭의 합, 즉, 검출기에서 각각의 분해된 측정 구조체의 산란 필드와 연관되는 강도의 합으로서 계산된다.
Figure pct00002
이상적인 검출기, 조명, 및 타겟의 경우, 검출기 상의 각각의 지점에 도달하는 모든 광자는 q 공간의 고유 지점에, 즉, 타겟으로부터의 고유한 산란 각도에 대응한다. 그러나, 실제로, 타겟 상의 유한한 스팟 사이즈, 빔의 넌제로 발산(non-zero divergence), 타겟에서의 비주기성, 등등과 같은 다양한 비이상적인 성질(ideality)은 각각의 산란 각도에서 유한한 점 확산 함수(finite point spread function)에 기여한다. 이들 비이상적인 성질에 기인하여, 예를 들면, 검출기 상의 한 지점에서 수신되는 광자의 일부가 두 개의 상이한 차수로부터 유래하는 것이 일반적이다. q 공간의 두 개의 상이한 지점에서 광이 산란되기 때문에, 강도는 비가간섭적으로(incoherently) 추가된다.
분해된 측정 구조체(S1 및 S2)의 조명이 비가간섭성 및 가간섭성 둘 모두인 것으로 간주되는 경우, 검출기에서의 결합된 강도는 식(1)에 의해 추정되는 바와 같은 결합된 강도 및 식 (2)에 의해 측정되는 바와 같은 결합된 강도의 조합으로서 계산된다. 예를 들면, 분해된 측정 구조체(S1 및 S2)의 조명이 반 비가간섭성이고 반 가간섭성인 것으로 간주되는 경우, 결합된 강도는 (0.5*Icoherent + 0.5*Iincoherent)로서 추정될 수도 있다. 이러한 방식으로, 분해된 측정 구조체(S1 및 S2)의 혼합물은 가간섭성 및 비가간섭성 산란체(scatterer)의 선형 조합으로서 간주된다.
일반적으로, 하나 이상의 측정된 구조체의 분해는 단순화된 시뮬레이션을 가능하게 한다. 이산화(discretization), 변환 계산, 및 전자기 시뮬레이션은, 전체 주기의 단위 셀의 복합 모델에 대해 수행되는 동일한 계산보다 극적으로 더 적은 계산 노력을 사용하여 각각의 분해된 구조체에 대해 독립적으로 수행된다.
일반적으로, 온-디바이스 구조체 또는 디바이스와 같은 구조체의 복잡한 조합은 분해에 의해 측정될 수도 있다. 구조체의 그러한 복잡한 조합의 직접적인 모델링은, 그렇지 않으면, 시간 및 컴퓨팅 리소스에서 엄청나게 많은 비용이 들 것이다.
그러나, 온-디바이스 측정을 위한 수집된 신호의 양은 클 수 있다. 따라서, 이들 신호 및 이들 신호의 함수(예를 들면, 야코비안(Jacobians) 및 헤시안(Hessians))을 저장하는 것은 상당한 리소스를 필요로 할 수 있다. 추가적인 양태에서, 수집된 신호 및 연관된 변환의 차원을 감소시키기 위해, 주 성분 분석(principal component analysis) 또는 임의의 다른 적절한 데이터 압축 방법론(예를 들면, 선형 또는 비선형 압축)이 활용된다.
추가적인 양태에서, 측정 분해는 측정 모델 시뮬레이션, 측정 모델 트레이닝, 또는 측정 레시피 개발의 일부로서 활용된다.
몇몇 실시형태에서, 측정된 오버레이 계측 타겟은 측정 영역의 상이한 하위 영역에서 두 개의 상이한 구조체를 포함한다. 하나의 예에서, 계측 타겟의 절반은 한 방향(예를 들면, x 방향)으로 배향되는 격자이고, 한편 계측 타겟의 다른 절반은 직교 방향(y 방향)으로 배향되는 격자이다. 이들 실시형태에서, 두 방향(예를 들면, x 및 y 방향)에서의 오버레이는 측정 분해(즉, 각각의 격자 구조체로부터 측정된 신호의 선형 조합)에 의해 동시에 측정된다.
몇몇 실시형태에서, 측정된 오버레이 계측 타겟은 두 개보다 더 많은 층 사이의 오버레이를 동시에 측정하도록 설계되는 구조체의 조합을 포함한다. 이들 계측 타겟에서, 타겟의 상이한 부분은 상이한 층 사이의 오버레이(예를 들면, 세 개의 백 엔드 오브 더 라인 층(back end of the line layer)(M1, V0, M0) 사이의 오버레이)를 나타낸다.
SAXS 시스템은 종종 디바이스의 의도하지 않은 영역을 조명한다. 예를 들면, R-SAXS 시스템은 큰 영역을 조명하고 T-SAXS 시스템은 매립된 구조체를 조명한다. 따라서, 부수적인 비필수 데이터가 검출기 상에서 측정되는 것이 일반적이다.
도 9a는 수직 입사 조명(116)을 사용한 복합 반도체 구조체(181)의 측정을 묘사한다. 복합 반도체 구조체는, 상이한 재료(184)의 블록에 의해 주기적으로 차단되는 재료의 라인을 포함하는 매립된 라인 구조체(183) 위에 제조되는 홀의 어레이(182)를 포함한다. 도 9b는 수직 조명을 사용한 복합 반도체 구조체(181)의 T-SAXS 측정과 연관되는 산란 강도의 이미지(185)를 묘사한다. 도 9b에서 묘사되는 바와 같이, 에칭된 홀로부터의 산란만이 관찰된다.
도 10a는 경사 입사 조명(116)을 사용한 동일한 복합 반도체 구조체(181)의 측정을 묘사한다. 도 10b는 경사 조명을 사용한 복합 반도체 구조체(181)의 T-SAXS 측정과 연관되는 산란 강도의 이미지(186)를 묘사한다. 도 10b에서 묘사되는 바와 같이, 에칭된 홀 및 매립 라인(183) 둘 모두로부터의 산란이 관찰된다.
추가적인 양태에서, 하나 이상의 부수적 구조체를 포함하는 측정된 강도 및 모델링된 강도가 주목하는 구조체의 측정을 수행하기 위해 활용된다. 몇몇 예에서, 온-디바이스 측정은 계측을 위한 중요한 타겟 및 부수적 구조체로서 작용하는 복합적인 하층 구조체로 분해된다. 부수적 구조체는 검출기에서 검출되는 조명 광을 산란시키지만, 그러나 부수적 구조체는 주목하는 대상이 아니다. 따라서, 임계 구조체의 산란측정법 측정은 부수적 구조체로부터의 측정 신호로 오염된다.
몇몇 예에서, 부수적 구조체로부터의 측정 신호에 의한 오염을 포함하여 중요한 구조체로부터 측정치가 수집된다. 또한, 동일한 부수적인 하층 위에 제조되는 간단한 구조체로부터 측정치가 수집된다. 본원에서 설명되는 바와 같은 측정 분해는, 간단한 구조체와 연관되는 측정 데이터로부터, 중요한 구조체와 연관되는 측정 데이터를 직접적으로 감산하여 부수적 하층과 연관되는 측정 신호를 효과적으로 상쇄시키기 위해 활용된다.
다른 추가적인 양태에서, 분해된 하위 구조체의 상이한 조합을 각각 갖는 다수의 구조체의 측정이 수행된다. 몇몇 실시형태에서, 부수적 구조체로부터의 산란은 다수의 구조체의 측정에 기초하여 모델링된다. 몇몇 실시형태에서, 부수적 구조체로부터의 산란은, 구조체 중 하나가 부수적 구조체를 포함하지 않는 다수의 구조체의 측정에 기초하여 모델링된다.
도 10b에서 예시되는 바와 같이, 경사 조명을 사용한 구조체(181)의 측정은, 최종 패턴화된 구조체(즉, 홀(182)의 어레이) 및 하층 구조체(즉, 매립된 라인(183))로부터 산란을 생성한다. 그러나, 몇몇 실시형태에서, 최종 패턴화된 구조체를 측정하기 위해, 하층 구조체의 상세한 파라 파라메트릭 모델(detailed parametric model)을 구축할 필요는 없다.
다른 추가적인 양태에서, SAXS 측정 시스템의 모델은, 하층 구조체의 임의적인 부수적 모델(예를 들면, 랜덤 모델)을 포함하고, 모델 분해는 측정을 분해하고 최종 패턴화된 구조체와 연관되는 신호를 분리하기 위해 활용된다. 주기적 구조체는 Q 공간에서 특정한 각도에서 산란된다. 그러나, 랜덤 구조체는 Q 공간에서 많은 상이한 각도로 산란된다. 따라서, 측정 분해는 하나 이상의 측정된 주기적 구조체 및 랜덤 산란체(예를 들면, 하층 구조체)와 연관되는 산란을 분리하기 위해 활용된다.
몇몇 예에서, 회절 차수의 상호 작용은 하나 이상의 주목하는 파라미터(예를 들면, 임계 치수, 오버레이, 등등)에 의해 파라미터화되는 주목하는 구조체의 모델에 기초하여 분해되고, 기저의 부수적 구조체는 임의적인 파라메트릭 모델(예를 들면, 랜덤 모델)에 의해 모델링된다. 임의의 파라메트릭 모델은 시스템 모델에 의해 제한된다.
하나의 예에서, 측정 모델은 부수적 구조체(예를 들면, 랜덤의 기저의 구조체)의 존재에서 의도된 주목하는 파라미터를 회귀 추정한다(regress).
다른 예에서, 측정 모델은 랜덤 파라메트릭 모델을 회귀 추정하여 부수적인 데이터를 식별한다. 부수적인 데이터는 측정된 데이터로부터 제외되어 결합된 측정된 데이터로부터 동등한 부수적인 자유 데이터를 재생성한다. 그 다음, 측정 모델은 부수적인 자유 데이터로부터 의도한 주목하는 파라미터를 회귀 추정한다.
다른 추가적인 양태에서, 부수적 모델은 검출기 상에서 측정되는 산란된 데이터에 대해 직접적으로 동작하고, 측정된 데이터에 대한 부수적 구조체의 영향을 제거하기 위해 측정된 데이터를 효과적으로 필터링한다. 몇몇 예에서, 부수적 모델은, 부수적 구조체와 연관되는 것으로 알려져 있는 측정된 데이터에서의 관찰된 현상을 설명하기 위해 활용되는 휴리스틱 모델이다. 부수적 모델은 기본 함수의 세트에 대해 동작하는 일정한 계수를 갖는 선형 모델일 수도 있다. 계수는 측정된 데이터로부터 가능한 한 많은 부수적인 데이터를 제거하도록 조정된다. 측정된 데이터를 필터링한 이후, 결과적으로 나타나는 필터링된 측정 데이터는, 본원에 설명되는 바와 같은 주목하는 파라미터의 모델 기반의 측정의 일부로서 활용된다.
몇몇 다른 예에서, 관찰된 산란 함수는, 디컨볼루션, 모델 피팅, 회귀 모델(예를 들면, 신경망 모델, 등등)의 트레이닝에 의해 SAXS 시스템의 모델을 통해 추출되어, 주목하는 구조체와 연관되는 측정 신호를 분리한다. 이 접근법은 온-디바이스의 로직 구조체를 측정하는 데 특히 유용하다. 이들 측정 애플리케이션에서, 구조체는 종종 주기적 라인 및 비주기적 라인 컷(aperiodic line cut)에 의해 중단되는 공간을 포함한다. 랜덤화된 컷으로부터의 x 선 산란은, SAXS 시스템의 모델을 조정하는 것에 의해, 예를 들면, 플럭스 재정규화(flux re-normalization)에 의해 보상될 수 있다. 예를 들면, 플럭스 정규화는, 1차 회절 피크에 기여하지 않는 랜덤 컷에 기인하는 감소된 산란을 완화한다. 또한, 주기적 타겟에 대한 주목하는 파라미터의 값뿐만 아니라, 라인 컷의 사이즈는, 본원의 상기에서 설명되는 바와 같이 측정 분해에 기초하여 결정될 수도 있다.
다른 추가적인 양태에서, 측정된 산란된 강도와 하나 이상의 주목하는 파라미터의 값 사이의 함수 관계를 확립하는, 신호 응답 계측 모델(예를 들면, 신경망 모델, 딥 러닝 네트워크 모델, 지원 벡터 머신 모델, 등등)과 같은 입력-출력 측정 모델을 트레이닝시키기 위해, 측정 분해가 활용된다.
몇몇 예에서, 온-디바이스 또는 디바이스와 같은 구조체의 측정으로부터 주목하는 파라미터의 값을 추정할 수 있는 라이브러리/모델을 트레이닝시키기 위해, 상대적으로 간단한 산란측정법 구조체 및 더욱 복잡한 온-디바이스 또는 디바이스와 같은 구조체로부터 측정 데이터가 수집된다. 몇몇 예에서, 상대적으로 간단한 산란측정법 타겟으로부터 수집되는 데이터는 측정 분해에 의해 더 큰 구조체의 영역에 의해 수집되는 데이터로부터 분리된다. 몇몇 예에서, 선택 가능한 조명 시스템(예를 들면, 조명을 제어하기 위한, 음향 광학 변조기, 디지털 미러 디바이스, 선택 가능한 어퍼쳐, 등등)은, 측정 영역의 사이즈를 (예를 들면, 5 마이크로미터로부터 1 밀리미터 이상으로) 변경하는 것에 의해 상대적으로 간단한 산란측정법 구조체 및 더욱 복잡한 온-디바이스 또는 디바이스와 같은 구조체의 별개의 조명을 허용한다.
상대적으로 간단한 산란측정법 타겟은 더 큰 구조체 또는 물리적으로 분리된 구조체의 하나 이상의 용이하게 특성 묘사되는 영역일 수 있다. 측정 분해, 선택 가능한 조명, 또는 둘 모두에 의해, 손상 없는 측정 데이터가 정확한 측정 모델 트레이닝을 가능하게 한다. 트레이닝된 측정 모델은, 부수적인 데이터에 의해 손상되는 측정에 기초하여, 복합 구조체의 더 빠른 측정을 가능하게 한다. 몇몇 예에서, 측정 모델은 부수적 격자의 영향을 필터링하기 위해 셀 내 측정과 매치하는 조밀한 타겟 결과에 대해 트레이닝된다.
더 큰 조명 영역이 더 빠른 측정을 의미하는(예를 들면, SAXS) 광에 의해 제한되는 시스템의 경우, 이것은 또한, 레시피가 간단한 타겟으로부터(예를 들면, 분리된 신호로부터) 측정에 의거하여 생성될 수 있고, 복합 온-디바이스 측정에 의거하여 이들 측정을 보고하도록 트레이닝될 수 있다는 것을 의미한다.
다른 추가적인 양태에서, 측정 분해는 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다. 최적화된 측정 레시피는, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 측정 시스템의 물리적 파라미터의 선택을 포함한다.
도 9a 및 도 9b 및 도 10a 및 도 10b에서 묘사되는 바와 같이, 검출기에서 기저의 구조체로부터의 산란의 관찰 가능성은 조명 입사각의 선택에 의존한다. 최종 패턴화된 구조체에 중점을 둔 측정 애플리케이션에서, 조명 입사각의 적절한 선택은 기저의 구조체로부터 발생하는 부수적 산란 신호의 억제를 가능하게 한다. 일반적으로, 측정 분해에 기초한 시스템 모델링은, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 다양한 측정 레시피(즉, 측정 시스템 파라미터 값의 조합)의 시뮬레이션을 가능하게 한다. 예시적인 시스템 파라미터는, 발산 형상, 조명 스팟 형상, 조명 스팟 위치, 입사각, 방위각, 노출 시간, 타겟 방위, 및 소스 형상을 포함하지만, 그러나 이들로 제한되지는 않는다. 본원에서 설명되는 바와 같이 분해 측정을 위한 샘플링 전략을 사용하는 것에 의해, 신호 오염 정도는, 분해 모델의 시뮬레이션을 통해 원시 신호 잔차(raw signal residual) 또는 계측 결과에 의해 정량화될 수 있다. 또한, 이들 결과는 그럴 듯한 타겟(feasible target)이 존재하는 경우 실제 측정에 의해 검증될 수도 있다.
다른 양태에서, 프로세스 정정은, 주목하는 파라미터(예를 들면, 임계 치수, 오버레이, 높이, 측벽 각도, 등등)의 측정된 값에 기초하여 결정되고, 수정 사항(correction)이 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴, 등등)로 전달되어 프로세스 툴의 하나 이상의 프로세스 제어 파라미터를 변경시킨다. 몇몇 실시형태에서, SAXS 측정이 수행되고 프로세스가 측정된 구조체 상에 대해 실행되는 동안 프로세스 제어 파라미터가 업데이트된다. 몇몇 실시형태에서, SAXS 측정은 특정한 프로세스 단계 이후에 수행되고, 그 프로세스 단계와 연관되는 프로세스 제어 파라미터는 그 프로세스 단계에 의한 미래의 디바이스의 프로세싱을 위해 업데이트된다. 몇몇 실시형태에서, SAXS 측정은 특정한 프로세스 단계 이후에 수행되고, 후속하는 프로세스 단계와 연관되는 프로세스 제어 파라미터는 후속하는 프로세스 단계에 의한 측정된 디바이스 또는 다른 디바이스의 프로세싱을 위해 업데이트된다.
몇몇 예에서, 본원에서 설명되는 측정 방법에 기초하여 결정되는 측정된 파라미터의 값은 소망되는 에칭 깊이를 달성하기 위해 에칭 시간을 조정하기 위해 에칭 툴로 전달될 수 있다. 유사한 방식에서, 에칭 툴 또는 퇴적 툴로 능동 피드백을 각각 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산성, 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도, 등등)가 측정 모델에 포함될 수도 있다. 몇몇 예에서, 측정된 디바이스 파라미터 값에 기초하여 결정되는 파라미터를 프로세싱하기 위한 수정 사항이 프로세스 툴로 전달될 수도 있다. 하나의 실시형태에서, 컴퓨팅 시스템(130)은 계측 시스템(100)으로부터 수신되는 측정된 신호(135)에 기초하여 프로세스 동안 하나 이상의 주목하는 파라미터의 값을 결정한다. 또한, 컴퓨팅 시스템(130)은 하나 이상의 주목하는 파라미터의 결정된 값에 기초하여 제어 커맨드(138)를 프로세스 툴(예를 들면, 에칭 툴, 이온 주입 툴, 리소그래피 툴, 등등)로 전달한다. 제어 커맨드(138)는 프로세스 컨트롤러로 하여금 프로세스의 상태를 변경하게 한다(예를 들면, 에칭 프로세스를 중지시키고, 확산도를 변경하고, 리소그래피 초점을 변경하고, 리소그래피 조사량을 변경하고, 등등을 함). 하나의 예에서, 제어 커맨드(138)는 소망되는 에칭 깊이가 측정될 때 프로세스 컨트롤러로 하여금 에칭 프로세스를 정지시키게 한다. 다른 예에서, 제어 커맨드(138)는 CD 파라미터의 측정된 웨이퍼 균일성을 개선하도록 프로세스 컨트롤러로 하여금 에칭 레이트를 변경하게 한다.
일반적으로, 입사 x 선 조명이 주기적 피쳐와 상호 작용함에 따라, x 선 조명은 가간섭적으로, 부분적으로 가간섭적으로 또는 비가간섭적으로 산란하여 검출기(119) 상에서 회절 이미지(예를 들면, 도 9b 및 도 10b에서 묘사되는 이미지(185 및 186))를 생성한다. 소망되는 산란된 이미지 또는 산란된 이미지의 시퀀스는 프로세스 툴이 올바르게 조정되는 경우 달성된다. 그러나, 측정된 이미지가 소망되는 이미지 또는 소망되는 이미지의 시퀀스로부터 벗어날 때, 이들 편차는 프로세스 툴 드리프트 및 또한 프로세스 툴을 다시 적절한 상태(tune)로 가져가는 데 필요한 프로세스 제어 변수에 대한 수정을 나타낸다.
일반적으로, 계측 타겟은, 계측 타겟의 최대 횡방향 범위 치수(즉, 웨이퍼 표면과 정렬되는 치수)에 의해 나누어지는 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)로서 정의되는 애스펙트비에 의해 특성 묘사된다. 몇몇 실시형태에서, 피측정 계측 타겟은 적어도 20의 애스펙트비를 갖는다. 몇몇 실시형태에서, 계측 타겟은 적어도 40의 애스펙트비를 갖는다.
도 11a 내지 도 11c는 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(195)의 등각 투영도, 평면도, 및 단면도를 각각 묘사한다. 메모리 디바이스(195)의 전체 높이(또는 등가적으로 깊이)는 1마이크로미터에서부터 수 마이크로미터까지의 범위에 걸친다. 메모리 디바이스(195)는 수직으로 제조되는 디바이스이다. 메모리 디바이스(195)와 같은 수직으로 제조되는 디바이스는, 본질적으로, 종래의 평면의 메모리 디바이스를 90 도 회전시켜, 비트 라인 및 셀 스트링을 수직으로(웨이퍼 표면에 수직임) 배향한다. 충분한 메모리 용량을 제공하기 위해, 상이한 재료의 많은 수의 교대하는 층이 웨이퍼 상에 퇴적된다. 이것은, 100 나노미터 이하의 최대 횡방향 범위를 갖는 구조체에 대해 수 미크론의 깊이까지 잘 수행할 것을 패턴화 프로세스에게 요구한다. 결과적으로, 25 대 1 또는 50 대 1의 애스펙트비가 보기 드문 것은 아니다.
비록 도 1이 투과 SAXS 측정 시스템을 묘사하지만, 본원에서 설명되는 방식으로 얕은 피쳐를 측정하기 위해, 일반적으로, 반사식 SAXS(reflective SAXS; R-SAXS) 측정 시스템이 활용될 수도 있다.
도 12는 웨이퍼 상에 배치되는 반도체 구조체의 x 선 산란측정법 측정에 기초하여 웨이퍼(201)를 측정하기 위한 예시적인 R-SAXS 계측 시스템(200)을 묘사한다. R-SAXS 계측 시스템(200)은 반사식 x 선 산란계를 포함한다. 웨이퍼(201)는 웨이퍼 척(wafer chuck)(205)에 부착되고 웨이퍼 스테이지(240)에 의해 x 선 산란계에 대해 배치된다.
묘사된 실시형태에서, R-SAXS 계측 시스템(200)은 도 1을 참조하여 조명 소스(110)의 설명과 유사한 반사식 SAXS 측정에 적절한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(210)를 포함한다.
몇몇 예에서, 컴퓨팅 시스템(130)은, x 선 조명 소스(210)로 하여금 소망되는 에너지 레벨에서 x 선 방사선을 방출하게 하는 커맨드 신호(237)를 x 선 조명 소스(210)로 전달한다. 측정 중인 높은 종횡비 구조체에 대한 많은 정보를 갖는 측정 데이터를 획득하도록 에너지 레벨은 변경된다.
조명 빔(216)은 측정 스팟(202) 위의 시료(201)를 조명한다. 웨이퍼(201)에 대한 입사 이후, 산란된 x 선 방사선(214)은 X 선 검출기(219)에 의해 수집되고 반사식 SAXS 측정 모달리티(modality)에 따라 입사 x 선 방사선에 민감한 시료(201)의 속성을 나타내는 출력 신호(235)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(214)은 x 선 검출기(219)에 의해 수집되고, 한편, 시료 위치 결정 시스템(240)은, 컴퓨팅 시스템(230)으로부터 시료 위치 결정 시스템(240)으로 전달되는 커맨드 신호(239)에 따라 각도적으로 분해된 산란된 x 선을 생성하기 위해 시료(201)를 위치 결정하고 배향한다.
추가적인 양태에서, 컴퓨팅 시스템(230)은 산란된 광의 하나 이상의 회절 차수에 기초하여 웨이퍼(201)의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 13에서 묘사되는 바와 같이, 시스템(200)은 검출기(219)에 의해 생성되는 신호(235)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하고 주목하는 파라미터의 결정된 값의 표시(222)를 메모리(예를 들면, 메모리(290))에 저장하기 위해 활용되는 컴퓨팅 시스템(230)을 포함한다.
일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수 기입(Real Time Critical Dimensioning; RTCD)을 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 연관되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는, 시료의 할당된 CD 파라미터와 측정된 시료와 연관되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
다른 양태에서, 하나 이상의 SAXS 시스템은 프로세스 인터벌(process interval) 동안 웨이퍼의 다수의 상이한 영역을 측정하도록 구성된다. 몇몇 실시형태에서, 각각의 측정된 주목하는 파라미터와 연관되는 웨이퍼 균일성 값은, 웨이퍼에 걸친 각각의 주목하는 파라미터의 측정된 값에 기초하여 결정된다.
몇몇 실시형태에서, 다수의 계측 시스템이 프로세스 툴과 통합되고 계측 시스템은 프로세스 동안 웨이퍼에 걸쳐 상이한 영역을 동시에 측정하도록 구성된다. 몇몇 실시형태에서, 프로세스 툴과 통합되는 단일의 계측 시스템은 프로세스 동안 웨이퍼의 다수의 상이한 영역을 순차적으로 측정하도록 구성된다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 반도체 디바이스의 SAXS 기반의 계측을 위한 방법 및 시스템은 메모리 구조체의 측정에 적용된다. 이들 실시형태는 주기적이고 평면인 구조체에 대한 임계 치수(CD), 필름 및 조성 계측을 가능하게 한다.
본원에서 설명되는 바와 같은 산란측정법 측정은, 다양한 반도체 구조체의 특성을 결정하기 위해 사용될 수도 있다. 예시적인 구조체는, FinFET, 나노와이어 또는 그래핀(graphene)과 같은 저차원 구조체(low-dimensional structure), 10 nm 미만의 구조체, 리소그래피 구조체, 기판 관통 비아(through substrate via; TSV), 메모리 구조체 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트비 메모리 구조체를 포함하지만, 그러나 이들로 제한되지는 않는다. 예시적인 구조적 특성은, 기하학적 형상 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이, 및 재료 파라미터 예컨대 전자 밀도, 조성, 입자 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 신원(elemental identification)을 포함하지만, 그러나 이들로 제한되지는 않는다. 몇몇 실시형태에서, 계측 타겟은 주기적 구조체이다. 몇몇 다른 실시형태에서, 계측 타겟은 비주기적이다.
몇몇 예에서, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND) 또는 수직 NAND 메모리(vertical NAND memory)(V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하는 그러나 이들로 제한되지는 않는 고 애스펙트비 반도체 구조체의 임계 치수, 두께, 오버레이, 및 재료 속성의 측정은, 본원에서 설명되는 바와 같은 T-SAXS 측정 시스템을 사용하여 수행된다.
몇몇 예에서, 측정 모델은, 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor Corporation으로부터 입수 가능한 SpectraShape® 임계 치수 계측 시스템의 엘리먼트로서 구현된다. 이 방식에서, 모델은, 산란 이미지가 시스템에 의해 수집된 직후에 생성되어 사용 준비가 이루어진다.
몇몇 다른 예에서, 측정 모델은, 예를 들면, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수 가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인에서 구현된다. 결과적으로 나타나는 모델은, 측정을 수행하는 계측 시스템에 의해 액세스가능한 AcuShape® 라이브러리의 엘리먼트로서 통합될 수도 있다.
도 13는 적어도 하나의 새로운 양태에서 계측 측정을 수행하는 방법(300)을 예시한다. 방법(300)은 본 발명의 도 1 및 도 12에서 예시되는 SAXS 계측 시스템과 같은 계측 시스템에 의한 구현에 적절하다. 하나의 양태에서, 방법(300)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(130), 컴퓨팅 시스템(230), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서, 도 1 및 도 12에서 묘사되는 계측 시스템의 특정한 구조적 양태는 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.
블록(301)에서, 일정 양의 x 선 조명 광이 측정 영역 내의 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체에 제공된다.
블록(302)에서, 반도체 웨이퍼로부터 반사되는 또는 반도체 웨이퍼를 통해 투과되는 x 선 광의 양은 x 선 조명 광의 양에 응답하여 검출된다.
블록(303)에서, 복수의 출력 신호가 생성된다. 출력 신호는 하나 이상의 구조체로부터의 측정된 산란 응답을 나타낸다.
블록(304)에서, 하나 이상의 구조체가 복수의 하위 구조체로 분해되거나, 측정 영역이 복수의 하위 영역으로 분해되거나, 또는 둘 모두가 이루어진다.
블록(305)에서, 복수의 하위 구조체의 각각, 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델이 생성된다.
블록(306)에서, 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답이 독립적으로 생성된다.
블록(307)에서, 시뮬레이팅된 산란 응답은 결합된 시뮬레이팅된 산란 응답을 생성하기 위해 결합된다.
블록(308)에서, 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값은 결합된 시뮬레이팅된 산란 응답 및 측정된 산란 응답에 기초하여 결정된다.
또 다른 실시형태에서, 시스템(100)은 본원에서 설명되는 방법에 따라 수집되는 산란측정법 측정 데이터에 기초하여 반도체 구조체의 측정을 수행하기 위해 활용되는 하나 이상의 컴퓨팅 시스템(130)을 포함한다. 하나 이상의 컴퓨팅 시스템(130)은 하나 이상의 검출기, 능동 광학 엘리먼트, 프로세스 컨트롤러, 등등에 통신 가능하게 커플링될 수도 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(130)은 웨이퍼(101)의 구조체의 산란측정법 측정과 연관되는 측정 데이터를 수신하도록 구성된다.
본 개시의 전체에 걸쳐 설명되는 하나 이상의 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시스템(100)의 상이한 서브시스템은, 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적절한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다.
또한, 컴퓨터 시스템(130)은 기술분야에서 공지되어 있는 임의의 방식으로 분광계(spectrometer)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 산란계와 연관되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 산란계는 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 산란계, 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는, 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 역할을 할 수도 있다.
시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 다른 외부 시스템) 사이의 데이터 링크로서 역할을 할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 본원에서 설명되는 산란계를 사용하여 획득되는 산란된 이미지는 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 산란측정법 이미지는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(import)(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 추정된 파라미터 값 또는 측정 모델은 전달되어 외부 메모리에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(export)(내보내기)될 수도 있다.
컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 1에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어(134)는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능한 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능한 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다. 엘리먼트(231-234)를 포함하는 컴퓨팅 시스템(230)은, 본원에서 설명되는 바와 같이, 엘리먼트(131-134)를 포함하는 컴퓨팅 시스템(130)과 각각 유사하다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는, 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 오버레이 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 삼차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 초점/조사량 계측, 및 조성 계측과 같은 측정 애플리케이션을 비롯한, 임의의 양태에서 시료의 특성을 적어도 부분적으로 묘사하는 데 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 그러한 용어는 본원에서 설명되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시적으로 수반함), 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
임의의 반도체 프로세싱 툴(예를 들면, 검사 시스템 또는 리소그래피 시스템) 내에서 시료를 측정하기 위해 사용될 수도 있는 반도체 측정 시스템에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 그러나 이들로 제한되지는 않는다. 그러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복 가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 발매될(released) 수도 있는 또는 발매되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 재료의 그러한 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능한 매체 상에 저장될 수도 있거나 또는 컴퓨터 판독 가능한 매체를 통해 송신될 수도 있다. 컴퓨터 판독 가능한 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 제한이 아닌 예로서, 그러한 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망되는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 사용될 수 있으며 범용 컴퓨터 또는 특수 목적용 컴퓨터, 또는 범용 프로세서 또는 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능한 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 콤팩트 디스크(compact disc; CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 일반적으로 자기적으로 데이터를 재생하고, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독 가능한 매체의 범위 내에 또한 포함되어야 한다.
임의의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 청구범위에서 기술되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (20)

  1. x 선 산란측정법 기반의 계측 시스템(x-ray scatterometry based metrology system)으로서,
    측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체(structure)로 지향되는 일정 양의 x 선 조명 광을 제공하도록 구성되는 엑스선 조명 소스;
    x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하도록 그리고 상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하도록 구성되는 검출기; 및
    컴퓨팅 시스템을 포함하며,
    상기 컴퓨팅 시스템은:
    상기 하나 이상의 구조체를 복수의 하위 구조체(sub-structure)로 분해하거나, 상기 측정 영역을 복수의 하위 영역(sub-area)으로 분해하거나, 또는 둘 모두를 행하도록;
    상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델(structural model)을 생성하도록;
    상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답(simulated scattering response)을 독립적으로 생성하도록;
    상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하도록; 그리고
    상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터(parameters of interest)의 값을 결정하도록
    구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
  2. 제1항에 있어서,
    상기 복수의 하위 구조체는 동일한 주기성의 상이한 주기적 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  3. 제1항에 있어서,
    상기 복수의 하위 구조체는 상이한 주기성을 갖는 상이한 주기적 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  4. 제1항에 있어서,
    상기 복수의 하위 구조체는 거의 주기적 방식으로 복수 회 반복되는 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  5. 제1항에 있어서,
    상기 복수의 하위 구조체는 상대적으로 작은 주기를 갖는 제1 구조체 및 상기 작은 주기의 정수배인 상대적으로 큰 주기를 갖는 제2 구조체를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  6. 제1항에 있어서,
    상기 복수의 하위 영역의 각각은, 단일의 구조체 또는 상기 단일의 구조체의 복수의 하위 구조체로의 분해와 연관되는 것인, x 선 산란측정법 기반의 계측 시스템.
  7. 제1항에 있어서,
    상기 검출기에서의 상기 결합된 시뮬레이팅된 산란 응답의 강도에 대한 상기 복수의 하위 영역의 각각의 기여(contribution)는, 각각의 하위 영역의 면적에 비례하여 증감되는(scale), x 선 산란측정법 기반의 계측 시스템.
  8. 제1항에 있어서,
    상기 구조체 모델의 각각과 연관되는 상기 시뮬레이팅된 산란 응답의 생성은, 전자기 모델링 솔버(solver)를 사용하여 각각의 구조체 모델과 연관되는 산란 필드(scattered field)의 계산을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
  9. 제8항에 있어서,
    상기 구조체 모델의 각각과 연관되는 상기 시뮬레이팅된 산란 응답의 생성은, 상기 검출기에서 각각의 구조체 모델과 연관되는 상기 시뮬레이팅된 산란 응답에 도달하도록 시스템 모델을 통해 상기 산란 필드를 전파하는 것을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
  10. 제1항에 있어서,
    상기 결합된 시뮬레이팅된 산란 응답의 생성은, 상기 시뮬레이팅된 산란 응답의 각각을 가간섭적으로(coherently), 비가간섭적으로(incoherently), 또는 이들의 조합으로 결합하는 것을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
  11. 제1항에 있어서,
    상기 컴퓨팅 시스템은 또한:
    제조 툴로 하여금 상기 제조 툴의 하나 이상의 프로세스 제어 파라미터의 값을 조정하게 하는 상기 하나 이상의 주목하는 파라미터의 값의 표시를 상기 제조 툴로 전달하도록 구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
  12. 제1항에 있어서,
    상기 양의 x 선 조명 광은 복수의 입사각, 방위각, 또는 둘 모두에서 측정 스팟으로 지향되는 것인, x 선 산란측정법 기반의 계측 시스템.
  13. 제1항에 있어서,
    상기 x 선 조명 소스는 또한, 복수의 상이한 에너지 레벨에서 측정 스팟으로 지향되는 상기 양의 x 선 조명 광을 제공하도록 구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
  14. 제1항에 있어서,
    상기 하나 이상의 주목하는 파라미터의 값을 결정하는 것은, 모델 기반의 측정 모델, 트레이닝된 신호 응답 계측(signal response metrology; SRM) 측정 모델, 또는 단층 촬영 측정 모델(tomographic measurement model)에 기초하는 것인, x 선 산란측정법 기반의 계측 시스템.
  15. 제1항에 있어서,
    상기 하나 이상의 구조체는 3 차원 NAND 구조체 또는 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM) 구조체를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  16. 제1항에 있어서,
    상기 하나 이상의 구조체는 적어도 하나의 주목하는 구조체 및 적어도 하나의 부수적(incidental) 구조체를 포함하고, 상기 결합된 시뮬레이팅된 산란 응답은 상기 적어도 하나의 주목하는 구조체 및 상기 적어도 하나의 부수적 구조체로부터의 모델링된 기여를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
  17. 제1항에 있어서,
    상기 하나 이상의 구조체는 적어도 하나의 주목하는 구조체 및 적어도 하나의 부수적 구조체를 포함하고, 상기 컴퓨팅 시스템은 또한:
    상기 적어도 하나의 부수적 구조체로부터의 기여를 감소시키기 위해 상기 측정된 산란 응답을 필터링하도록 구성되되, 상기 적어도 하나의 주목하는 구조체와 연관되는 상기 하나 이상의 주목하는 파라미터의 값의 결정은, 상기 필터링된 측정된 산란 응답에 기초하는 것인, x 선 산란측정법 기반의 계측 시스템.
  18. x 선 산란측정법 기반의 계측 시스템으로서,
    측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체로 지향되는 일정 양의 x 선 조명 광을 제공하도록 구성되는 x 선 조명 소스;
    x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하도록 그리고 상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하도록 구성되는 검출기; 및
    명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체를 포함하되, 상기 명령어는, 하나 이상의 프로세서에 의한 실행시, 상기 하나 이상의 프로세서로 하여금:
    상기 하나 이상의 구조체를 복수의 하위 구조체로 분해하거나, 상기 측정 영역을 복수의 하위 영역으로 분해하거나, 또는 둘 모두를 행하게 하고;
    상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델을 생성하게 하고;
    상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답을 독립적으로 생성하게 하고;
    상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하게 하고; 그리고
    상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값을 결정하게 하는, x 선 산란측정법 기반의 계측 시스템.
  19. 방법으로서,
    측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체로 지향되는 일정 양의 x 선 조명 광을 제공하는 단계;
    x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하는 단계;
    상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하는 단계;
    상기 하나 이상의 구조체를 복수의 하위 구조체로 분해하는 것, 상기 측정 영역을 복수의 하위 영역으로 분해하는 것, 또는 둘 모두를 행하는 단계;
    상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델을 생성하는 단계;
    상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답을 독립적으로 생성하는 단계;
    상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하는 단계; 및
    상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값을 결정하는 단계를 포함하는, 방법.
  20. 제19항에 있어서,
    제조 툴로 하여금 상기 제조 툴의 하나 이상의 프로세스 제어 파라미터의 값을 조정하게 하는 상기 하나 이상의 주목하는 파라미터의 값의 표시를 상기 제조 툴로 전달하는 단계를 더 포함하는, 방법.
KR1020207007533A 2017-08-14 2018-08-14 타겟 분해를 사용한 온 디바이스 계측 KR102381154B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762544911P 2017-08-14 2017-08-14
US62/544,911 2017-08-14
US16/101,521 2018-08-13
US16/101,521 US10983227B2 (en) 2017-08-14 2018-08-13 On-device metrology using target decomposition
PCT/US2018/046771 WO2019036512A1 (en) 2017-08-14 2018-08-14 DEVICE-BASED METROLOGY USING TARGET DECOMPOSITION

Publications (2)

Publication Number Publication Date
KR20200032249A true KR20200032249A (ko) 2020-03-25
KR102381154B1 KR102381154B1 (ko) 2022-03-30

Family

ID=65275036

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207007533A KR102381154B1 (ko) 2017-08-14 2018-08-14 타겟 분해를 사용한 온 디바이스 계측

Country Status (7)

Country Link
US (1) US10983227B2 (ko)
JP (1) JP7033192B2 (ko)
KR (1) KR102381154B1 (ko)
CN (1) CN111052329B (ko)
IL (1) IL272162B2 (ko)
TW (1) TWI769292B (ko)
WO (1) WO2019036512A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11703464B2 (en) * 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
CN110673194B (zh) * 2019-10-28 2021-04-20 上海联影医疗科技股份有限公司 康普顿散射序列恢复方法、装置、设备及介质
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
KR20230004633A (ko) * 2020-05-14 2023-01-06 에이에스엠엘 네델란즈 비.브이. 확률적 기여자를 예측하는 방법
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model
EP4339602A1 (en) * 2022-09-14 2024-03-20 Xenocs SAS Method of performing machine learning of a small angle x-ray scattering measurement data analysis model

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20170061604A1 (en) * 2015-08-31 2017-03-02 Kla-Tencor Corporation Model-Based Metrology Using Images
US20170167862A1 (en) * 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7713145B2 (en) * 2008-01-10 2010-05-11 Acushnet Company Multi-layer core golf ball
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US7886951B2 (en) 2008-11-24 2011-02-15 Tyco Healthcare Group Lp Pouch used to deliver medication when ruptured
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
GB2481950B (en) * 2009-04-14 2017-08-23 Rigaku Denki Co Ltd Surface microstructure measurement method, surface microstructure measurement data analysis method and surface microstructure measurement system.
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9311431B2 (en) 2011-11-03 2016-04-12 Kla-Tencor Corporation Secondary target design for optical measurements
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10269528B2 (en) * 2013-09-19 2019-04-23 Sigray, Inc. Diverging X-ray sources using linear accumulation
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
KR101901770B1 (ko) 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
DE112016001982T5 (de) 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20170061604A1 (en) * 2015-08-31 2017-03-02 Kla-Tencor Corporation Model-Based Metrology Using Images
US20170167862A1 (en) * 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures

Also Published As

Publication number Publication date
TW201925766A (zh) 2019-07-01
US20190049602A1 (en) 2019-02-14
US10983227B2 (en) 2021-04-20
IL272162B2 (en) 2023-02-01
TWI769292B (zh) 2022-07-01
WO2019036512A1 (en) 2019-02-21
JP2020530942A (ja) 2020-10-29
IL272162B (en) 2022-10-01
KR102381154B1 (ko) 2022-03-30
IL272162A (en) 2020-03-31
JP7033192B2 (ja) 2022-03-09
CN111052329A (zh) 2020-04-21
CN111052329B (zh) 2023-08-25

Similar Documents

Publication Publication Date Title
KR102381154B1 (ko) 타겟 분해를 사용한 온 디바이스 계측
US11955391B2 (en) Process monitoring of deep structures with X-ray scatterometry
KR102625635B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
KR20190131129A (ko) 투과 소각 x 선 산란 계측 시스템
CN114981686A (zh) 基于软性x射线散射测量的叠对测量方法及系统
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant