KR20200032249A - On-device measurement using target decomposition - Google Patents

On-device measurement using target decomposition Download PDF

Info

Publication number
KR20200032249A
KR20200032249A KR1020207007533A KR20207007533A KR20200032249A KR 20200032249 A KR20200032249 A KR 20200032249A KR 1020207007533 A KR1020207007533 A KR 1020207007533A KR 20207007533 A KR20207007533 A KR 20207007533A KR 20200032249 A KR20200032249 A KR 20200032249A
Authority
KR
South Korea
Prior art keywords
structures
measurement
ray
scattering
sub
Prior art date
Application number
KR1020207007533A
Other languages
Korean (ko)
Other versions
KR102381154B1 (en
Inventor
존 헨치
안토니오 겔리노
알렉산더 쿠즈네초프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200032249A publication Critical patent/KR20200032249A/en
Application granted granted Critical
Publication of KR102381154B1 publication Critical patent/KR102381154B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/02Dosimeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/29Measurement performed on radiation beams, e.g. position or section of the beam; Measurement of spatial distribution of radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4735Solid samples, e.g. paper, glass

Abstract

온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정을 위한 방법 및 시스템이 본원에서 제시된다. 측정 영역 위의 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다. 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내의 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 추가적인 양태에서, 주목하는 구조체의 측정을 수행하기 위해, 하나 이상의 부수적 구조체를 포함하는 모델링된 강도 및 측정된 강도가 활용된다. 다른 추가적인 양태에서, 측정 분해는 측정 모델을 트레이닝시키기 위해 그리고 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다.Methods and systems for more efficient X-ray scatterometry measurements of on-device structures are presented herein. X-ray scatterometry measurements of one or more structures over a measurement region include decomposition of one or more structures into a plurality of substructures, decomposition of a measurement region into a plurality of subregions, or both. The disassembled structure, measurement region, or both are simulated independently. Each scattering contribution of the independently simulated degraded structure is combined to simulate the actual scattering of the measured structure within the measurement region. In a further aspect, modeled and measured intensities comprising one or more ancillary structures are utilized to perform measurements of the structures of interest. In another additional aspect, measurement decomposition is utilized to train the measurement model and to optimize measurement recipes for specific measurement applications.

Description

타겟 분해를 사용한 온 디바이스 계측On-device measurement using target decomposition

관련 출원에 대한 교차 참조Cross reference to related applications

본 특허 출원은, 2017년 8월 14일자로 출원된 발명의 명칭이 "Efficient On-Device Metrology Using Target Decomposition"인 미국 특허 가출원 일련 번호 제62/544,911호로부터의 35 U.S.C. §119하에서의 우선권을 주장하는데, 상기 미국 특허 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.This patent application is made by 35 U.S.C. from U.S. Provisional Patent Application Serial No. 62 / 544,911 entitled "Efficient On-Device Metrology Using Target Decomposition", filed August 14, 2017. Priority is claimed under § 119, the subject matter of which is a United States patent provisional application is incorporated herein by reference in its entirety.

기술 분야Technical field

설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히 반도체 구조체의 향상된 측정을 위한 방법 및 시스템에 관한 것이다.The described embodiments relate to metrology systems and methods, and in particular to methods and systems for improved measurement of semiconductor structures.

로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되지는 않는다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음, 개개의 반도체 디바이스로 분리될 수도 있다.Semiconductor devices, such as logic and memory devices, are typically manufactured by a series of processing steps applied to a specimen. The various features and multiple structural levels of a semiconductor device are formed by these processing steps. For example, lithography, among other things, is one semiconductor manufacturing process that involves creating a pattern on a semiconductor wafer. Additional examples of semiconductor manufacturing processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be manufactured on a single semiconductor wafer, and then separated into individual semiconductor devices.

계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 더 높은 수율을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 계측 기반 기술이 일반적으로 사용된다. x 선 산란측정법(x-ray scatterometry) 기술은 샘플 파괴의 위험 없이 높은 스루풋에 대한 잠재성을 제공한다.The metrology process is used at various stages during the semiconductor manufacturing process to detect defects on the wafer and promote higher yields. To characterize the critical dimensions, film thickness, composition, and other parameters of nanoscale structures, a number of metrology-based technologies are common, including scatterometry implementations, reflectometry implementations, and related analytical algorithms. Is used as The x-ray scatterometry technology offers the potential for high throughput without the risk of sample destruction.

전통적으로, 박막 및/또는 반복된 주기적 구조체로 이루어지는 타겟에 대해, 광학적 산란측정법 임계 치수(scatterometry critical dimension; SCR) 측정이 수행된다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복합적인(complex) 삼차원 기하학적 형상 및 다양한 물리적 속성(property)을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사선(optical radiation)이 하부 층(bottom layer)까지 침투하는 것을 어렵게 만드는 고 애스펙트비의 삼차원 구조체이다. 적외선 내지 가시 광선을 활용하는 광학적 계측 툴은 반투명 재료의 많은 층을 침투할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 변형에 대해 충분한 감도를 제공하지 못한다. 또한, 복합 구조체(complex structure)(예를 들면, FinFET)를 특성 묘사하는 데 필요로 되는 파라미터의 증가하는 수는, 증가하는 파라미터 상관 관계로 이어진다. 결과적으로, 타겟을 특성 묘사하는 파라미터는, 종종, 이용 가능한 측정을 통해 신뢰성 있게 분리될 수 없다.Traditionally, for targets consisting of thin films and / or repeated periodic structures, optical scatterometry critical dimension (SCR) measurements are performed. As devices (eg, logic and memory devices) move toward smaller nanometer-scale dimensions, characterization becomes more difficult. Devices incorporating materials with complex three-dimensional geometries and various physical properties contribute to the difficulty of characterization. For example, modern memory structures are often high aspect ratio three-dimensional structures that make it difficult for optical radiation to penetrate down to the bottom layer. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent material, but longer wavelengths that provide good penetration depth do not provide sufficient sensitivity to small deformations. Also, the increasing number of parameters required to characterize a complex structure (eg, FinFET) leads to an increasing parameter correlation. Consequently, the parameters characterizing the target, often, cannot be reliably separated through the available measurements.

하나의 예에서, 스택의 교대하는 재료 중 하나로서 폴리실리콘을 활용하는 3D FLASH 디바이스에 대한 침투 이슈를 극복하기 위한 시도에서, 더 긴 파장(예를 들면, 근적외선)이 활용되었다. 그러나, 3D FLASH의 미러형 구조체는 본질적으로, 조명이 필름 스택 안으로 더 깊숙이 전파함에 따라 광 강도의 감소를 야기한다. 이것은 깊이에서의 감도 손실 및 상관 관계 이슈를 야기한다. 이 시나리오에서, 광학적 SCD는 높은 민감도 및 낮은 상관 관계를 갖는 계측 치수의 감소된 세트만을 성공적으로 추출할 수 있다.In one example, in an attempt to overcome the penetration issue for 3D FLASH devices that utilize polysilicon as one of the stack's alternating materials, longer wavelengths (eg, near infrared) were utilized. However, the mirrored structure of 3D FLASH essentially causes a decrease in light intensity as illumination propagates deeper into the film stack. This causes sensitivity loss and correlation issues in depth. In this scenario, the optical SCD can successfully extract only a reduced set of metrology dimensions with high sensitivity and low correlation.

다른 예에서, 불투명한 고 유전율(high-k) 재료가 현대의 반도체 구조체에 점점 더 많이 활용되고 있다. 광학적 방사선은 종종 이들 재료로 구성되는 층을 침투할 수 없다. 결과적으로, 광학적 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 더 어려워지고 있다.In another example, opaque high-k materials are increasingly used in modern semiconductor structures. Optical radiation often cannot penetrate the layer composed of these materials. As a result, measurements using thin film scatterometry tools such as optical ellipsometers or reflectometers are becoming increasingly difficult.

이들 도전 과제(challenge)에 응답하여, 더욱 복합적인 광학 계측 툴(complex optical metrology tool)이 개발되었다. 예를 들면, 다수의 조명 각도, 더 짧은 조명 파장, 더 큰 범위의 조명 파장, 및 반사된 신호로부터의 더 많은 완전한 정보 획득을 갖춘(예를 들면, 보다 전통적인 반사율 또는 타원편광 해석 신호(ellipsometric signal) 외에 다수의 뮬러(Mueller) 매트릭스 요소를 측정하는) 툴이 개발되었다. 그러나, 이들 접근법(approach)은, 많은 고급 타겟(예를 들면, 복합적인 3D 구조체, 10 nm보다 더 작은 구조체, 불투명한 재료를 활용하는 구조체)의 측정 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 연관되는 근본적인 도전 과제를 신뢰성 있게 극복하지 못하였다.In response to these challenges, more complex optical metrology tools have been developed. For example, with multiple illumination angles, shorter illumination wavelengths, larger ranges of illumination wavelengths, and more complete information acquisition from reflected signals (e.g., more traditional reflectivity or ellipsometric signals) ), As well as a number of tools for measuring multiple Mueller matrix elements. However, these approaches allow measurement and measurement applications (e.g., line edge roughness) of many advanced targets (e.g., complex 3D structures, structures smaller than 10 nm, structures utilizing opaque materials). The fundamental challenges associated with (line edge roughness) and line width roughness measurements have not been reliably overcome.

광학적 방법은 프로세스 단계 사이에서 프로세스 변수의 비파괴적 추적을 제공할 수도 있지만, 그러나 프로세스 드리프트(process drift)의 면에서 정확도를 유지하기 위해서는 파괴적 방법에 의한 규칙적인 캘리브레이션이 필요로 된다.Optical methods may provide non-destructive tracking of process variables between process steps, but regular calibration by destructive methods is required to maintain accuracy in terms of process drift.

원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 그들은 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 필요로 한다. 주사 전자 현미경(scanning electron microscopes; SEM)은 중간 분해능 레벨을 달성하지만, 그러나 충분한 깊이까지 구조체를 침투할 수 없다. 따라서, 고 애스펙트비의 홀은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다.Atomic force microscopes (AFM) and scanning-tunneling microscopes (STM) can achieve atomic resolution, but they can only examine the surface of the sample. In addition, AFM and STM microscopes require long scanning times. Scanning electron microscopes (SEM) achieve intermediate resolution levels, but cannot penetrate the structure to a sufficient depth. Therefore, the high aspect ratio hole is not well characterized. In addition, the essential charging of the sample adversely affects imaging performance.

침투 깊이 이슈를 극복하기 위해, TEM, SEM, 등등과 같은 전통적인 이미징 기술은, 집속 이온 빔(focused ion beam; FIB) 기계 가공(machining), 이온 밀링, 블랭킷 또는 선택적 에칭, 등등과 같은 파괴적인 샘플 준비 기술과 함께 활용된다. 예를 들면, 투과 전자 현미경(transmission electron microscopes; TEM)은 높은 분해능 레벨을 달성하고 임의의 깊이를 조사할 수 있지만, 그러나 TEM은 시료의 파괴적인 절단을 필요로 한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 삼차원 구조체 전반에 걸친 임계 계측 파라미터를 측정하는 데 필요로 되는 정보를 제공한다. 그러나, 이들 기술은 샘플 파괴 및 긴 프로세스 시간을 필요로 한다. 복합성 및 이들 타입의 측정을 완료하기 위한 시간은, 에칭 및 계측 단계의 드리프트에 기인하는 큰 부정확성을 도입하는데, 그 이유는, 피측정 웨이퍼 상에서 프로세스가 완료되고 오래 뒤에 측정 결과가 이용 가능하게 되기 때문이다. 따라서, 측정 결과는 추가 프로세싱 및 지연된 피드백으로부터 유래하는 바이어스에 종속된다. 게다가, 이들 기술은 레지스트레이션 에러(registration error)를 도입하는 수많은 반복을 필요로 한다. 요약하면, 디바이스 수율은 SEM 및 TEM 기술에 대해 필요로 되는 길고 파괴적인 샘플 준비에 의해 부정적인 영향을 받는다.To overcome the penetration depth issue, traditional imaging techniques such as TEM, SEM, etc., use destructive samples such as focused ion beam (FIB) machining, ion milling, blanket or selective etching, etc. It is used in conjunction with preparation skills. For example, transmission electron microscopes (TEM) can achieve high resolution levels and investigate arbitrary depths, but TEM requires destructive cutting of the sample. Multiple iterations of material removal and measurement generally provide the information needed to measure critical metrology parameters across a three-dimensional structure. However, these techniques require sample destruction and long process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift in the etch and metrology steps, since the process is completed on the wafer to be measured and the measurement results become available long afterwards. to be. Therefore, the measurement results are subject to bias resulting from further processing and delayed feedback. Moreover, these techniques require numerous iterations that introduce registration errors. In summary, device yield is negatively affected by the long and disruptive sample preparation required for SEM and TEM techniques.

반도체 웨이퍼의 스크라이브 라인(scribe line)에서 제조되는 단순화된 폐기 구조체(throw-away structure)보다는, 온-디바이스 구조체 또는 디바이스와 같은(device-like) 구조체(예를 들면, 반도체 웨이퍼의 활성 영역에서 제조되는 실제 기능적 구조체 또는 프록시 구조체)의 측정을 수행하는 것이 특히 중요하다. 온-디바이스 구조체를 측정하는 것은, 측정된 구조체와 실제 디바이스 구조체 사이의 바이어스를 제거하거나 또는 상당히 감소시키고, 따라서 수율에 대한 계측 상관 관계를 증가시킨다. 온-디바이스 계측은, 더 크고 전문화된 계측 타겟에 대해 필요로 되는 영역을 감소시켜, 기능 디바이스(functional device)에 대해 이용 가능한 웨이퍼 영역을 잠재적으로 증가시킨다. 그러나, 온-디바이스 구조체(예를 들면, 웨이퍼의 활성 영역에 위치되는 구조체)는 측정 프로세스에 의해 손상될 수 없다. 측정을 위해 구조체는 단순화 또는 제거될 수 없다.Rather than a simplified throw-away structure fabricated in a scribe line of a semiconductor wafer, an on-device structure or a device-like structure (eg, fabrication in an active area of a semiconductor wafer) It is especially important to perform measurements of the actual functional structure or proxy structure). Measuring the on-device structure eliminates or significantly reduces the bias between the measured structure and the actual device structure, thus increasing the metrological correlation to yield. On-device metrology reduces the area required for larger and specialized metrology targets, potentially increasing the wafer area available for functional devices. However, the on-device structure (eg, a structure located in the active area of the wafer) cannot be damaged by the measurement process. For measurement, the structure cannot be simplified or removed.

소각 X 선 산란측정법(Small-Angle X-Ray Scatterometry; SAXS) 시스템은 까다로운 측정 애플리케이션을 다룰 가망을 보여주었다. SAXS 시스템은 상대적으로 큰 측정 영역에서 비파괴적인 고분해능 측정이 가능하다. 그러나, 온-디바이스 구조체는 단순화된 계측 구조체보다 훨씬 더 복합적이고, 이 복합성은 SAXS 측정에 대한 상당한 모델링 도전 과제를 제기한다.The Small-Angle X-Ray Scatterometry (SAXS) system has shown promise for dealing with demanding measurement applications. The SAXS system is capable of non-destructive high-resolution measurements in a relatively large measurement area. However, on-device structures are much more complex than simplified metrology structures, and this complexity poses a significant modeling challenge for SAXS measurements.

피측정 구조체의 최대 공통 단위 셀을 측정하고 모델링하는 것이 통상적이다. 이 접근법을 사용하면, 주기성의 전체 범위가 X 선 산란을 계산하도록 모델링된다. 복잡한 주기적 기하학적 모델의 경우, 구조체를 특성 묘사하는 아주 많은 형상이 수학적으로 설명된다. 현재 메모리 애플리케이션에서, 가장 큰 공통 단위 셀은 대략 수십 마이크로미터의 치수를 가질 수도 있고, 한편, 필수 측정 분해능은 대략 옹스트롬이다. 따라서, 실제로, 가장 큰 공통 단위 셀을 모델링하는 것은 매우 복합적이고, 계산적으로 비용이 많이 들고, 에러가 발생하기 쉽다. X 선 산란을 계산하기 위해 활용되는 크고 복잡한 모델은 계산적으로 비용이 많이 드는데, 그 이유는, 큰 주기성은 실제 산란을 정확하게 추정하기 위해 많은 기하학적 피쳐로부터 많은 산란된 차수의 계산을 필요로 하기 때문이다.It is common to measure and model the largest common unit cell of the structure to be measured. Using this approach, the entire range of periodicity is modeled to compute X-ray scattering. In the case of a complex periodic geometric model, so many features that characterize structures are mathematically explained. In current memory applications, the largest common unit cell may have dimensions on the order of tens of micrometers, while the required measurement resolution is approximately Angstroms. Thus, in practice, modeling the largest common unit cell is very complex, computationally expensive, and error prone. Large and complex models utilized to compute X-ray scattering are computationally expensive, because large periodicity requires the calculation of many scattered orders from many geometric features to accurately estimate actual scattering. .

요약하면, 피쳐 사이즈에서의 지속적인 감소 및 많은 반도체 구조체의 증가된 깊이는 계측 시스템에 어려운 요건을 부과한다. 비록 SAXS 측정 시스템이 까다로운 측정 애플리케이션을 다룰 가망을 보여주었지만, 측정 모델 복합성은, 비용 효율적이고 시기 적절한 방식에서, 복합적인 주기적 구조체의 주목하는(of interest) 파라미터의 측정을 제한한다. 따라서, 높은 종횡비 구조체를 측정하여 높은 디바이스 수율을 유지하기 위해, 향상된 SAXS 계측 시스템 및 방법이 소망된다.In summary, the continuous reduction in feature size and the increased depth of many semiconductor structures place difficult requirements on metrology systems. Although SAXS measurement systems have shown promise for dealing with demanding measurement applications, measurement model complexity limits the measurement of parameters of interest in complex periodic structures in a cost-effective and timely manner. Therefore, to maintain high device yields by measuring high aspect ratio structures, improved SAXS metrology systems and methods are desired.

온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정(scatterometry measurement)을 위한 방법 및 시스템이 본원에서 제시된다. 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟은, 측정과 실제 디바이스 구조체 사이의 바이어스를 방지하기 위해 반드시 복합적이다. 또한, 주어진 인스턴트(instant) 또는 측정 간격에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역은 하나 이상의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 온-디바이스 또는 디바이스와 같은 산란측정법 타겟의 X 선 산란측정법 측정은, 하위 구조체(sub-structure), 측정 하위 영역(measurement sub-area), 또는 둘 모두의 분해된 세트로서 더욱 간단하게 설명된다.Methods and systems for more efficient X-ray scatterometry measurements of on-device structures are presented herein. Scatterometry targets, such as on-device structures or devices, are necessarily complex to prevent bias between the measurement and the actual device structure. In addition, an area of a semiconductor wafer measured by a metrology system at a given instant or measurement interval may include scatterometry targets such as one or more on-device structures or devices. X-ray scatterometry measurements of scatterometry targets, such as on-devices or devices, are more simply described as an exploded set of sub-structures, measurement sub-area, or both.

하나의 양태에서, 측정 영역에 걸친 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다.In one aspect, X-ray scatterometry measurements of one or more structures across a measurement region include decomposition of one or more structures into a plurality of substructures, decomposition of a measurement region into a plurality of subregions, or both. The disassembled structure, measurement region, or both are simulated independently.

몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 간단한 주기적 하위 구조체의 합으로서 모델링된다.In some embodiments, the complex periodic structure being measured is modeled as the sum of simple periodic substructures.

몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 동일한 주기성의 상이한 주기적 형상의 합으로서 모델링된다.In some embodiments, the complex periodic structure being measured is modeled as the sum of different periodic shapes of the same periodicity.

몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체가 상이한 주기성을 갖는 상이한 주기적 형상의 합으로서 모델링된다.In some embodiments, the complex periodic structure being measured is modeled as the sum of different periodic shapes with different periodicity.

몇몇 실시형태에서, 측정 중인 복잡한 구조체가 거의 주기적 방식으로 다수 회 반복되는 상대적으로 간단한 형상의 합으로서 모델링된다.In some embodiments, the complex structure being measured is modeled as a sum of relatively simple shapes that are repeated multiple times in an almost periodic fashion.

몇몇 예에서, 측정 중인 복잡한 구조체가 작은 주기를 갖는 간단한 구조체 및 작은 주기의 정수배인 큰 주기를 갖는 다른 구조적 교란(perturbation)으로서 모델링된다.In some examples, the complex structure being measured is modeled as a simple structure with a small period and another structural perturbation with a large period that is an integer multiple of the small period.

몇몇 실시형태에서, 측정 영역은 상이한 구조체 또는 하위 구조체의 조합과 각각 연관되는 다수의 상이한 하위 영역으로 세분된다.In some embodiments, the measurement region is subdivided into a number of different sub-regions, each associated with a different structure or combination of sub-structures.

다른 양태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 응답은 독립적으로 시뮬레이팅된다.In another aspect, the scattering response associated with each resolved measurement element is simulated independently.

또 다른 양태에서, 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내에서 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 분해된 측정 구조체의 임의의 조합과 연관되는 산란 필드는, 기저의(underlying) 구조체(들)의 조명이 가간섭성인지, 비가간섭성인지, 또는 가간섭성과 비가간섭성의 어떤 조합인지의 여부에 따라 상이하게 조합된다.In another aspect, each scattering contribution of the independently simulated degraded structure is combined to simulate the actual scattering of the structure measured within the measurement region. The scattering field associated with any combination of the disassembled measurement structure depends on whether the illumination of the underlying structure (s) is coherent, incoherent, or any combination of coherence and incoherence. According to the combination.

추가적인 양태에서, 하나 이상의 부수적 구조체(incidental structure)를 포함하는 측정된 강도 및 모델링된 강도가 주목하는 구조체(structures of interest)의 측정을 수행하기 위해 활용된다. 몇몇 예에서, 온-디바이스 측정은 계측을 위한 중요한 타겟 및 복합적이고 부수적인 하층(under-layer) 구조체로 분해된다.In a further aspect, the measured intensity comprising one or more incidental structures and the modeled intensity are utilized to perform measurements of structures of interest. In some examples, on-device measurements are decomposed into important targets for metrology and complex, incidental under-layer structures.

몇몇 예에서, 부수적 구조체로부터의 측정 신호에 의한 오염을 포함하여 중요한 구조체로부터 측정치가 수집된다. 또한, 동일한 부수적인 하층 위에 제조되는 간단한 구조체로부터 측정치가 수집된다. 본원에서 설명되는 바와 같은 측정 분해는, 간단한 구조체와 연관되는 측정 데이터로부터, 중요한 구조체와 연관되는 측정 데이터를 직접적으로 감산하여 부수적 하층과 연관되는 측정 신호를 효과적으로 상쇄시키기 위해 활용된다.In some instances, measurements are collected from important structures, including contamination by measurement signals from ancillary structures. In addition, measurements are collected from simple structures fabricated on the same incidental underlayer. Measurement decomposition as described herein is utilized to effectively subtract measurement data associated with an important structure by directly subtracting measurement data associated with an important structure from measurement data associated with a simple structure.

다른 추가적인 양태에서, 부수적 모델은 검출기에서 측정되는 산란된 데이터에 대해 직접적으로 동작하고, 측정된 데이터에 대한 부수적 구조체의 영향을 제거하기 위해 측정된 데이터를 효과적으로 필터링한다. 몇몇 예에서, 부수적 모델은, 부수적 구조체와 연관되는 것으로 알려져 있는 측정된 데이터에서의 관찰된 현상을 설명하기 위해 활용되는 휴리스틱 모델(heuristic model)이다. 측정된 데이터를 필터링한 이후, 결과적으로 나타나는 필터링된 측정 데이터는, 본원에 설명되는 바와 같은 주목하는 파라미터의 모델 기반의 측정의 일부로서 활용된다.In another additional aspect, the ancillary model operates directly on the scattered data measured at the detector and effectively filters the measured data to eliminate the effect of the ancillary structure on the measured data. In some examples, the ancillary model is a heuristic model utilized to account for observed phenomena in measured data known to be associated with the ancillary structure. After filtering the measured data, the resulting filtered measurement data is utilized as part of the model-based measurement of the parameter of interest as described herein.

다른 추가적인 양태에서, 측정 분해는, 측정된 산란 강도(scattered intensity)와 하나 이상의 주목하는 파라미터의 값 사이의 함수 관계(functional relationship)를 확립하는 입력-출력 측정 모델을 트레이닝시키기 위해 활용된다.In another additional aspect, measurement decomposition is utilized to train an input-output measurement model that establishes a functional relationship between the measured scattered intensity and the value of one or more noted parameters.

다른 추가적인 양태에서, 측정 분해는 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다. 최적화된 측정 레시피는, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 측정 시스템의 물리적 파라미터의 선택을 포함한다.In another additional aspect, measurement decomposition is utilized to optimize the measurement recipe for a particular measurement application. Optimized measurement recipes include the selection of physical parameters of the measurement system that enhance the signal of interest and suppress the signal from the ancillary structures.

전술한 내용은 개요이며, 따라서, 필요에 의해, 세부 사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기술되는 비제한적인 상세한 설명에서 명확해질 것이다.The foregoing is an overview, and thus includes simplification, generalization, and omission of details, as needed; Consequently, those skilled in the art will recognize that the outline is merely illustrative and not limiting in any way. Other aspects, original features, and advantages of the devices and / or processes described herein will become apparent in the non-limiting detailed description described herein.

도 1은 본원에서 설명되는 방법에 따라 측정 분해를 수행하도록 구성되는 계측 시스템(100)을 예시하는 다이어그램이다.
도 2는 하나의 구성에서의 빔 성형 슬릿 메커니즘(beam shaping slit mechanism)(120)의 단부 뷰(end view)를 묘사한다.
도 3은 다른 구성에서의 빔 성형 슬릿 메커니즘(120)의 단부 뷰를 묘사한다.
도 4는 각도(φ 및 θ)에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(116)을 묘사한다.
도 5는, 조명 빔(116)이 웨이퍼(101) 상에 입사하는 위치로 웨이퍼 스테이지가 이동된 시료 위치 결정 시스템(specimen positioning system)(140)을 예시하는 다이어그램이다.
도 6은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 측정 분해 엔진(160)을 예시하는 다이어그램이다.
도 7은 피측정 반도체 구조체의 단위 셀(170)을 묘사한다.
도 8a는 다수의 구조체를 포함하는 측정 영역(179)을 묘사한다.
도 8b는 측정 영역(179)에 걸친 조명 강도의 불균일한 분포의 플롯(180)을 묘사한다.
도 9a는 수직 입사 조명(116)을 사용한 복합 반도체 구조체(181)의 측정을 묘사한다.
도 9b는 정상적인 조명을 사용한 복합 반도체 구조체(181)의 측정과 연관되는 산란 강도의 이미지(185)를 묘사한다.
도 10a는 경사 입사 조명(116)을 사용한 동일한 복합 반도체 구조체(181)의 측정을 묘사한다.
도 10b는 경사 조명을 사용한 복합 반도체 구조체(181)의 측정과 연관되는 산란 강도의 이미지(186)를 묘사한다.
도 11a 내지 도 11c는 본원에서 설명되는 바와 같이 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스의 등각 투영도, 상면도, 및 단면도를 각각 묘사한다.
도 12는 본원에서 설명되는 방법에 따라 측정 분해를 수행하도록 구성되는 다른 예시적인 계측 시스템(200)을 묘사한다.
도 13은 본원에서 설명되는 바와 같은 모델 기반의 X 선 산란측정법 측정을 수행하는 예시적인 방법(300)을 예시하는 플로우차트를 묘사한다.
1 is a diagram illustrating a metrology system 100 configured to perform measurement decomposition according to the methods described herein.
2 depicts an end view of a beam shaping slit mechanism 120 in one configuration.
3 depicts an end view of the beam shaping slit mechanism 120 in another configuration.
4 depicts an x-ray illumination beam 116 incident on the wafer 101 at a specific orientation described by angles φ and θ.
5 is a diagram illustrating a specimen positioning system 140 in which the wafer stage has been moved to a location where the illumination beam 116 is incident on the wafer 101.
6 is a diagram illustrating an example measurement decomposition engine 160 implemented by computing system 130.
7 depicts a unit cell 170 of a semiconductor structure to be measured.
8A depicts a measurement area 179 comprising multiple structures.
8B depicts a plot 180 of a non-uniform distribution of illumination intensity across the measurement area 179.
9A depicts measurement of a composite semiconductor structure 181 using vertical incident illumination 116.
9B depicts an image 185 of scattering intensity associated with measurement of a composite semiconductor structure 181 using normal illumination.
10A depicts a measurement of the same composite semiconductor structure 181 using oblique incident illumination 116.
10B depicts an image 186 of scattering intensity associated with measurement of a composite semiconductor structure 181 using tilted illumination.
11A-11C depict isometric, top, and cross-sectional views, respectively, of a typical 3D FLASH memory device subject to measurement as described herein.
12 depicts another exemplary metrology system 200 configured to perform measurement decomposition according to the methods described herein.
13 depicts a flowchart illustrating an example method 300 for performing model-based X-ray scatterometry measurements as described herein.

이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태에 대한 상세한 참조가 이루어질 것인데, 본 발명의 몇몇 실시형태의 예는 첨부의 도면에서 예시된다.Now, examples of background art and detailed references to some embodiments of the invention will be made, examples of some embodiments of the invention being illustrated in the accompanying drawings.

온-디바이스 구조체의 더욱 효율적인 X 선 산란측정법 측정을 위한 방법 및 시스템이 본원에서 제시된다. 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟은, 측정과 실제 디바이스 구조체 사이의 바이어스를 방지하기 위해 반드시 복합적이다. 또한, 주어진 인스턴트 또는 측정 간격에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역은 하나 이상의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 그러나, 온-디바이스 또는 디바이스와 같은 산란측정법 타겟의 X 선 산란측정법 측정은, 하위 구조체, 측정 하위 영역, 또는 둘 모두의 분해된 세트로서 더욱 간단하게 설명된다.Methods and systems for more efficient X-ray scatterometry measurements of on-device structures are presented herein. Scatterometry targets, such as on-device structures or devices, are necessarily complex to prevent bias between the measurement and the actual device structure. In addition, an area of a semiconductor wafer measured by a metrology system at a given instant or measurement interval may include scatterometry targets such as one or more on-device structures or devices. However, X-ray scatterometry measurements of scatterometry targets, such as on-devices or devices, are more simply described as an exploded set of substructures, measurement subregions, or both.

도 1은, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 투과 소각 x 선 산란측정법(transmission, small-angle x-ray scatterometry; T-SAXS) 계측 툴(100)의 실시형태를 예시한다. 도 1에서 도시되는 바와 같이, 시스템(100)은 조명 빔 스팟에 의해 조명되는 시료(101)의 측정 영역(102)에 걸쳐 T-SAXS 측정을 수행하기 위해 사용될 수도 있다.1 shows an embodiment of a transmission, small-angle x-ray scatterometry (T-SAXS) metrology tool 100 for measuring the properties of a sample according to an exemplary method presented herein. For example. As shown in FIG. 1, system 100 may be used to perform T-SAXS measurements across measurement area 102 of sample 101 illuminated by an illumination beam spot.

묘사된 실시형태에서, 계측 툴(100)은 T-SAXS 측정에 적절한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(110)를 포함한다. 몇몇 실시형태에서, x 선 조명 소스(110)는 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 일반적으로, T-SAXS 측정을 위한 x 선 조명을 공급하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x 선을 생성할 수 있는 임의의 적절한 고휘도 x 선 조명 소스가 고려될 수도 있다. 몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 하는 튜닝 가능한(tunable) 모노크로메이터(tunable monochromator)를 포함한다.In the depicted embodiment, metrology tool 100 includes an x-ray illumination source 110 that is configured to generate x-ray radiation suitable for T-SAXS measurements. In some embodiments, the x-ray illumination source 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. In general, to provide x-ray illumination for T-SAXS measurements, any suitable high-brightness x-ray illumination source capable of generating high-brightness x-rays at a flux level sufficient to enable high-throughput inline measurements will be considered. It might be. In some embodiments, the x-ray source includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different selectable wavelengths.

몇몇 실시형태에서, 전체 디바이스뿐만 아니라 웨이퍼 기판을 통한 충분한 투과를 허용하는 파장에서 x 선 소스가 광을 공급하는 것을 보장하기 위해, 15 keV보다 더 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x 선 소스가 활용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 플라즈마 기반의 소스, 및 역 콤프턴(Compton) 소스 중 임의의 것이 x 선 조명 소스(110)로서 활용될 수도 있다. 하나의 예에서, 미국 캘리포니아 팔로 알토(Palo Alto)의 Lyncean Technologies, Inc.에서 입수 가능한 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는, 일정 범위의 광자 에너지에 걸쳐 x 선을 생성할 수 있고, 그에 의해 x 선 소스가, 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 할 수 있다는 추가적인 이점을 갖는다.In some embodiments, one or more x-rays emitting radiation having a photon energy greater than 15 keV to ensure that the x-ray source supplies light at a wavelength that allows sufficient transmission through the wafer substrate as well as the entire device. Source is utilized. By way of non-limiting example, particle accelerator source, liquid anode source, rotating anode source, stationary, solid anode source, microfocus source, microfocus source Any of a microfocus rotating anode source, a plasma-based source, and an inverse Compton source may be utilized as the x-ray illumination source 110. In one example, a reverse Compton source available from Lyncean Technologies, Inc. of Palo Alto, California, USA may be considered. The inverse Compton source has the additional advantage that it can generate x-rays over a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different selectable wavelengths.

예시적인 x 선 소스는, 고체 또는 액체 타겟에 충격을 주어 x 선 방사선을 자극하도록 구성되는 전자 빔 소스를 포함한다. 고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.Exemplary x-ray sources include electron beam sources that are configured to impact a solid or liquid target to stimulate x-ray radiation. Methods and systems for generating high brightness, liquid metal x-ray illumination are described in US Pat. No. 7,929,667 issued April 19, 2011 to KLA-Tencor Corp., the entire contents of which are hereby incorporated by reference. Is incorporated into.

X 선 조명 소스(110)는 유한한 횡방향 치수(finite lateral dimension)(즉, 빔 축에 직교하는 넌제로 치수)를 갖는 소스 영역에 걸쳐 x 선 방출을 생성한다. 집속 광학기기(focusing optic)(111)는 소스 방사선을 시료(101) 상에 위치되는 계측 타겟 상으로 집속한다. 유한한 횡방향 소스 치수는, 소스의 에지로부터 유래하는 광선(117)에 의해 정의되는 타겟 상에서 유한한 스팟 사이즈(102)로 나타난다. 몇몇 실시형태에서, 집속 광학기기(111)는 타원 형상의 집속 광학 엘리먼트를 포함한다.The X-ray illumination source 110 produces x-ray emission across a source region having a finite lateral dimension (ie, a non-zero dimension orthogonal to the beam axis). The focusing optics 111 focus the source radiation onto a metrology target located on the sample 101. The finite lateral source dimension is represented by the finite spot size 102 on the target defined by the ray 117 originating from the edge of the source. In some embodiments, focusing optics 111 includes an elliptical shaped focusing optical element.

집속 광학기기(111)와 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 빔 발산 제어 슬릿(112)이 위치된다. 빔 발산 제어 슬릿(112)은 피측정 시료에 제공되는 조명의 발산을 제한한다. 추가적인 중간 슬릿(113)은, 빔 발산 제어 슬릿(112)과 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가적인 빔 성형을 제공한다. 그러나, 일반적으로, 중간 슬릿(113)은 옵션 사항(optional)이다.The beam divergence control slit 112 is positioned in the beam path between the focusing optics 111 and the beam shaping slit mechanism 120. The beam divergence control slit 112 limits the divergence of illumination provided to the sample to be measured. The additional intermediate slit 113 is located in the beam path between the beam divergence control slit 112 and the beam shaping slit mechanism 120. The intermediate slits 113 provide additional beam shaping. However, in general, the intermediate slits 113 are optional.

빔 성형 슬릿 메커니즘(120)는, 빔 경로에서 시료(101) 직전에 위치된다. 하나의 양태에서, 빔 성형 슬릿 메커니즘(120)의 슬릿은, 유한한 소스 사이즈에 의해 정의되는 빔 발산에 기인하는 입사 빔 스팟 사이즈의 확대를 최소화하기 위해, 시료(101)에 근접하게 위치된다. 하나의 예에서, 유한한 소스 사이즈에 의해 생성되는 그림자에 기인하는 빔 스팟 사이즈의 확장은, 10 마이크로미터 x 선 소스 사이즈 및 빔 성형 슬릿과 시료(101) 사이의 25 밀리미터의 거리에 대해 대략 1 마이크로미터이다.The beam forming slit mechanism 120 is located just before the sample 101 in the beam path. In one aspect, the slit of the beam shaping slit mechanism 120 is positioned close to the sample 101 to minimize magnification of the incident beam spot size due to beam divergence defined by a finite source size. In one example, the expansion of the beam spot size due to the shadow generated by the finite source size is approximately 1 for a 10 micrometer x-ray source size and a distance of 25 millimeters between the beam forming slit and sample 101. Micrometer.

몇몇 실시형태에서, 빔 성형 슬릿 메커니즘(120)는 다수의 독립적으로 작동되는 빔 성형 슬릿(즉, 블레이드)을 포함한다. 하나의 실시형태에서, 빔 성형 슬릿 메커니즘(120)은 네 개의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 이들 네 개의 빔 성형 슬릿은, 유입하는 빔(115)의 일부를 효과적으로 차단하고 박스 형상의 조명 단면을 갖는 조명 빔(116)을 생성한다.In some embodiments, the beam shaping slit mechanism 120 includes a number of independently operated beam shaping slits (ie, blades). In one embodiment, the beam shaping slit mechanism 120 includes four independently operated beam shaping slits. These four beam forming slits effectively block a portion of the incoming beam 115 and produce an illumination beam 116 having a box-shaped illumination cross-section.

도 2 및 도 3은, 두 개의 상이한 구성으로 도 1에서 묘사되는 빔 성형 슬릿 메커니즘(120)의 단부 뷰를 묘사한다. 도 2 및 도 3에서 예시되는 바와 같이, 빔 축은 도면 페이지에 수직이다. 도 2에서 묘사되는 바와 같이, 유입하는 빔(115)은 큰 단면을 갖는다. 몇몇 실시형태에서, 유입하는 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 더구나, 빔 성형 슬릿(126-129) 내에서 유입하는 빔(115)의 위치는, 빔 포인팅 에러에 기인하여 대략 3 밀리미터의 불확실성을 가질 수도 있다. 유입하는 빔의 사이즈와 빔 위치의 불확실성을 수용하기 위해, 각각의 슬릿은 대략 6 밀리미터의 길이 L을 갖는다. 도 2에서 묘사되는 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 2의 예시에서, 슬릿(126-129)은 빔 축으로부터 최대 거리에 위치되는데 즉, 슬릿은 완전히 개방되고 그들은 빔 성형 슬릿 메커니즘(120)을 통과하는 광을 제한하지 않는다.2 and 3 depict end views of the beam shaping slit mechanism 120 depicted in FIG. 1 in two different configurations. As illustrated in Figures 2 and 3, the beam axis is perpendicular to the drawing page. As depicted in Figure 2, the incoming beam 115 has a large cross section. In some embodiments, incoming beam 115 has a diameter of approximately 1 millimeter. Moreover, the position of the beam 115 entering in the beam forming slits 126-129 may have an uncertainty of approximately 3 millimeters due to the beam pointing error. To accommodate the uncertainty of the incoming beam size and beam position, each slit has a length L of approximately 6 millimeters. As depicted in Figure 2, each slit is movable in a direction perpendicular to the beam axis. In the example of FIG. 2, slits 126-129 are positioned at a maximum distance from the beam axis, ie, the slits are fully open and they do not restrict light passing through the beam forming slit mechanism 120.

도 3은, 피측정 시료로 전달되는 유출하는 빔(outgoing beam)(116)이 감소된 사이즈 및 잘 정의된 형상을 가지도록, 유입하는 빔(115)의 일부를 차단하는 위치에서의 빔 성형 슬릿 메커니즘(120)의 슬릿(126-129)을 묘사한다. 도 3에서 묘사되는 바와 같이, 슬릿(126-129)의 각각은 소망되는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동하였다.3 is a beam shaping slit in a position to block a portion of the incoming beam 115, such that the outgoing beam 116 delivered to the sample to be measured has a reduced size and well-defined shape. The slits 126-129 of the mechanism 120 are depicted. As depicted in FIG. 3, each of the slits 126-129 moved inward toward the beam axis to achieve the desired output beam shape.

슬릿(126-129)은, 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는, 게르마늄, 갈륨 비화물, 인듐 인화물, 등등과 같은 단결정 재료를 포함한다. 통상적으로, 슬릿 재료는, 구조적 경계를 가로지르는 산란을 최소화하기 위해, 톱으로 절단되기(sawn) 보다는, 결정학적 방향(crystallographic direction)을 따라 쪼개진다. 또한, 슬릿은, 유입하는 방사선과 슬릿 재료의 내부 구조체 사이의 상호 작용이 최소량의 산란을 생성하도록, 유입하는 빔에 대하여 배향된다. 결정은, 슬릿의 한쪽 면 상에서의 x 선 빔의 완전한 차단을 위해, 고밀도 재료(예를 들면, 텅스텐)로 만들어진 각각의 슬릿 홀더에 부착된다. 몇몇 실시형태에서, 각각의 슬릿은, 대략 0.5 밀리미터의 폭 및 대략 1-2 밀리미터의 높이를 갖는 직사각형 단면을 갖는다. 도 2에서 묘사되는 바와 같이, 슬릿의 길이 L은 대략 6 밀리미터이다.The slits 126-129 are made of a material that minimizes scattering and effectively blocks incident radiation. Exemplary materials include single crystal materials such as germanium, gallium arsenide, indium phosphide, and the like. Typically, the slit material is cleaved along the crystallographic direction, rather than sawn, to minimize scattering across structural boundaries. Further, the slit is oriented with respect to the incoming beam, such that the interaction between incoming radiation and the internal structure of the slit material produces a minimal amount of scattering. Crystals are attached to each slit holder made of a high density material (eg tungsten), for complete blocking of the x-ray beam on one side of the slit. In some embodiments, each slit has a rectangular cross section having a width of approximately 0.5 millimeters and a height of approximately 1-2 millimeters. As depicted in Figure 2, the length L of the slit is approximately 6 millimeters.

일반적으로, x 선 광학기기는 x 선 방사선을 성형하여 시료(101)로 지향시킨다. 몇몇 예에서, x 선 광학기기는, 시료(101) 상에 입사하는 x 선 빔을 단색화하는(monochromatize) x 선 모노크로메이터를 포함한다. 몇몇 예에서, x 선 광학기기는 다층 x 선 광학기기를 사용하여 시료(101)의 측정 영역(102) 상으로의 x 선 빔을 1 밀리라디안 미만의 발산으로 시준 또는 집속한다. 이들 예에서, 다층 x 선 광학기기도 빔 모노크로메이터로서 또한 기능한다. 몇몇 실시형태에서, x 선 광학기기는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐(aperture), x 선 빔 정지부(x-ray beam stop), 굴절 x 선 광학기기, 구역 플레이트와 같은 회절 광학기기, 몬텔(Montel) 광학기기, 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 x 선 광학기기(specular x-ray optic), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학기기(polycapillary optic), 다층 광학기기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가적인 세부 사항은 미국 특허 공개 공보 제2015/0110249호에서 설명되는데, 이 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.In general, x-ray optics shape x-ray radiation and direct it to the sample 101. In some examples, the x-ray optics include an x-ray monochromator that monochromatizes the x-ray beam incident on the sample 101. In some examples, the x-ray optics collimate or focus the x-ray beam onto the measurement area 102 of the sample 101 using a multi-layer x-ray optics with a divergence of less than 1 milliradian. In these examples, multilayer x-ray optics also function as a beam monochromator. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-rays Optics, diffractive optics such as zone plates, Montel optics, specular x-ray optics such as grazing incidence ellipsoidal mirrors, hollow capillary x-ray waveguides polycapillary optics, such as hollow capillary x-ray waveguides, multilayer optics or systems, or any combination thereof. Additional details are described in US Patent Publication No. 2015/0110249, the contents of which are incorporated herein by reference in their entirety.

x 선 검출기(119)는 시료(101)로부터 산란되는 x 선 방사선(114)을 수집하고, T-SAXS 측정 양상(T-SAXS measurement modality)에 따라 입사 x 선 방사선에 민감한 시료(101)의 속성을 나타내는 출력 신호(135)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(114)은 x 선 검출기(119)에 의해 수집되고, 한편 시료 위치 결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치시키고 배향시킨다.The x-ray detector 119 collects the x-ray radiation 114 scattered from the sample 101, and the properties of the sample 101 sensitive to incident x-ray radiation according to the T-SAXS measurement modality The output signal 135 is generated. In some embodiments, scattered x-rays 114 are collected by x-ray detector 119 while sample positioning system 140 positions sample 101 to produce an angled scattered x-ray. Orient.

몇몇 실시형태에서, T-SAXS 시스템은 높은 다이나믹 레인지(예를 들면, 105 초과)를 갖는 하나 이상의 광자 카운팅 검출기(photon counting detector)를 포함한다. 몇몇 실시형태에서, 단일의 광자 카운팅 검출기는 검출된 광자의 위치 및 수를 검출한다.In some embodiments, the T-SAXS system includes one or more photon counting detectors with a high dynamic range (eg, greater than 10 5 ). In some embodiments, a single photon counting detector detects the location and number of photons detected.

몇몇 실시형태에서, x 선 검출기는 하나 이상의 x 선 광자 에너지를 분해하고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(119)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다.In some embodiments, the x-ray detector decomposes one or more x-ray photon energy and generates a signal for each x-ray energy component representing the properties of the sample. In some embodiments, the x-ray detector 119 is a CCD array, microchannel plate, photodiode array, microstrip proportional counter, gas filled proportional counter, scintillator, or fluorescence. Any of the materials.

이러한 방식으로, 검출기 내의 X 선 광자 상호 작용은, 픽셀 위치 및 카운트의 수 외에 에너지에 의해 구별된다. 몇몇 실시형태에서, X 선 광자 상호 작용은, X 선 광자 상호 작용의 에너지를, 미리 결정된 상한 임계 값 및 미리 결정된 더 낮은 임계 값과 비교하는 것에 의해 구별된다. 하나의 실시형태에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.In this way, X-ray photon interactions within the detector are distinguished by energy in addition to the number of pixel positions and counts. In some embodiments, X-ray photon interaction is distinguished by comparing the energy of the X-ray photon interaction to a predetermined upper threshold and a lower predetermined threshold. In one embodiment, this information is communicated to computing system 130 via output signal 135 for further processing and storage.

반도체 웨이퍼(101)의 표면 법선에 대한 조명 x 선 빔(116)의 각각의 방위는, x 선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 두 개의 각도 회전에 의해 설명되거나, 또는 그 반대도 가능하다. 하나의 예에서, 방위는 웨이퍼에 고정되는 좌표 시스템에 대하여 설명될 수 있다. 도 4는 입사각인 θ 및 방위각인 φ에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(116)을 묘사한다. 좌표 프레임 XYZ는, 계측 시스템(예를 들면, 조명 빔(116))에 고정되고 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면에서 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 도 4에서 묘사되는 바와 같이, x 선 조명 빔(116)은 Z 축과 정렬되고 따라서 XZ 평면 내에 놓인다. 입사각인 θ는, XZ 평면에서 웨이퍼의 표면 법선에 대한 x 선 조명 빔(116)의 방위를 설명한다. 더구나, 방위각인 φ는, X'Z' 평면에 대한 XZ 평면의 방위를 설명한다. 정리하면, θ 및 φ는, 웨이퍼(101)의 표면에 대한 x 선 조명 빔(116)의 방위를 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 하는 회전 및 웨이퍼(101)의 표면과 정렬되는 축(즉, Y 축)을 중심으로 하는 회전에 의해 설명된다. 몇몇 다른 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면과 정렬되는 제1 축 및 웨이퍼(101)의 표면과 정렬되며 제1 축에 수직인 다른 축을 중심으로 하는 회전에 의해 설명된다.Each orientation of the illuminated x-ray beam 116 relative to the surface normal of the semiconductor wafer 101 is described by any two angular rotations of the wafer 101 relative to the x-ray illuminated beam 115, or The opposite is also possible. In one example, the orientation can be described with respect to a coordinate system fixed to the wafer. 4 depicts an x-ray illumination beam 116 incident on the wafer 101 at a specific orientation described by the incident angle θ and the azimuth angle φ. The coordinate frame XYZ is fixed to the measurement system (e.g., illumination beam 116) and the coordinate frame X'Y'Z 'is fixed to the wafer 101. The Y axis is aligned with the surface of the wafer 101 in a plane. X and Z are not aligned with the surface of the wafer 101. Z 'is aligned with an axis perpendicular to the surface of the wafer 101, and X' and Y 'are in a plane aligned with the surface of the wafer 101. As depicted in Figure 4, the x-ray illumination beam 116 is aligned with the Z axis and thus lies within the XZ plane. The angle of incidence θ describes the orientation of the x-ray illumination beam 116 with respect to the surface normal of the wafer in the XZ plane. Moreover, the azimuth angle phi describes the orientation of the XZ plane with respect to the X'Z 'plane. In summary, θ and φ uniquely define the orientation of the x-ray illumination beam 116 with respect to the surface of the wafer 101. In this example, the orientation of the x-ray illumination beam with respect to the surface of the wafer 101 is rotated about an axis perpendicular to the surface of the wafer 101 (ie, the Z 'axis) and the surface of the wafer 101. This is explained by rotation about the axis being aligned (ie, the Y axis). In some other examples, the orientation of the x-ray illumination beam with respect to the surface of the wafer 101 is different from the first axis aligned with the surface of the wafer 101 and perpendicular to the first axis aligned with the surface of the wafer 101. It is explained by rotation about an axis.

도 1에서 예시되는 바와 같이, 계측 툴(100)은 조명 빔(116)에 대하여 큰 범위의 입사각 및 방위각에 걸쳐 시료(101)를 정렬시키는 것 및 시료(101)를 배향하는 것 둘 모두를 하도록 구성되는 시료 위치 결정 시스템(140)을 포함한다. 몇몇 실시형태에서, 시료 위치 결정 시스템(140)은 시료(101)의 표면과 평면 내에서 정렬되는 큰 범위의 회전 각도(예를 들면, 적어도 60도)에 걸쳐 시료(101)을 회전시키도록 구성된다. 이러한 방식에서, 시료(101)의 각도 분해된 측정치는, 시료(101)의 표면 상의 임의의 수의 위치 및 방위에 걸쳐 계측 시스템(100)에 의해 수집된다. 하나의 예에서, 컴퓨팅 시스템(130)은 시료(101)의 소망되는 위치를 나타내는 시료 위치 결정 시스템(140)에 커맨드 신호(도시되지 않음)를 전달한다. 응답에서, 시료 위치 결정 시스템(140)은, 시료(101)의 소망되는 위치 결정을 달성하기 위해 시료 위치 결정 시스템(140)의 다양한 액추에이터로의 커맨드 신호를 생성한다.As illustrated in FIG. 1, metrology tool 100 allows both alignment of sample 101 and orientation of sample 101 over a large range of angles of incidence and azimuth with respect to illumination beam 116. And a sample positioning system 140 configured. In some embodiments, the sample positioning system 140 is configured to rotate the sample 101 over a large range of rotational angles (eg, at least 60 degrees) aligned within a plane with the surface of the sample 101. do. In this way, angularly resolved measurements of sample 101 are collected by metrology system 100 over any number of positions and orientations on the surface of sample 101. In one example, the computing system 130 sends a command signal (not shown) to the sample positioning system 140 indicating the desired location of the sample 101. In response, the sample positioning system 140 generates command signals to various actuators of the sample positioning system 140 to achieve the desired positioning of the sample 101.

도 5는 하나의 실시형태에서의 시료 위치 결정 시스템(140)을 묘사한다. 도 5에서 묘사되는 바와 같이, 시료 위치 결정 시스템(140)은 베이스 프레임(141), 횡방향 정렬 스테이지(142), 스테이지 기준 프레임(143), 및 웨이퍼 스테이지(144)를 포함한다. 참조 목적을 위해, {XBF, YBF, ZBF} 좌표 프레임은 베이스 프레임(141)에 부착되고, {XNF, YNF, ZNF} 좌표 프레임은 횡방향 정렬 스테이지(142)에 부착되고, {XRF, YRF, ZRF} 좌표 프레임은 스테이지 기준 프레임(143)에 부착되고, {XSF, YSF, ZSF} 좌표 프레임은 웨이퍼 스테이지(144)에 부착된다. 웨이퍼(101)는 액추에이터(150A-C)를 포함하는 팁 틸트 Z 스테이지(tip-tilt-Z stage)(156)에 의해 웨이퍼 스테이지(144) 상에서 지지된다. 팁 틸트 Z 스테이지(156)에 장착되는 회전 스테이지(158)는, 조명 빔(116)에 대하여, 방위각 φ의 범위에 걸쳐 웨이퍼(101)를 배향시킨다. 묘사된 실시형태에서, 세 개의 선형 액추에이터(150A-C)가 웨이퍼 스테이지(144)에 장착되고 회전 스테이지(158)를 지지하는데, 회전 스테이지(158)는, 이어서, 웨이퍼(101)를 지지한다.5 depicts a sample positioning system 140 in one embodiment. As depicted in FIG. 5, the sample positioning system 140 includes a base frame 141, a transverse alignment stage 142, a stage reference frame 143, and a wafer stage 144. For reference purposes, the {X BF , Y BF , Z BF } coordinate frame is attached to the base frame 141, and the {X NF , Y NF , Z NF } coordinate frame is attached to the lateral alignment stage 142. , {X RF , Y RF , Z RF } coordinate frames are attached to the stage reference frame 143, and {X SF , Y SF , Z SF } coordinate frames are attached to the wafer stage 144. Wafer 101 is supported on wafer stage 144 by a tip-tilt-Z stage 156 that includes actuators 150A-C. The rotating stage 158 mounted on the tip tilt Z stage 156 orients the wafer 101 with respect to the illumination beam 116 over a range of azimuth angles φ. In the depicted embodiment, three linear actuators 150A-C are mounted to the wafer stage 144 and support the rotating stage 158, which then supports the wafer 101.

액추에이터(145)는 XBF 축을 따라 베이스 프레임(141)에 대하여 횡방향 정렬 스테이지(142)를 병진시킨다(translate). 회전 액추에이터(rotary actuator)(146)는 YNF 축을 가지고 정렬되는 회전 축(153)을 중심으로 횡방향 정렬 스테이지(142)에 대하여 스테이지 기준 프레임(143)을 회전시킨다. 회전 액추에이터(146)는 조명 빔(116)에 대하여, 일정 범위의 입사각(θ)에 걸쳐 웨이퍼(101)를 배향시킨다. 웨이퍼 스테이지 액추에이터(147 및 148)는, 각각, XRF 및 YRF 축을 따라 스테이지 기준 프레임(143)에 대하여 웨이퍼 스테이지(144)를 병진시킨다. 액추에이터(150A-C)는, 협조하여, ZSF 방향에서 웨이퍼 스테이지(144)에 대하여 회전 스테이지(158) 및 웨이퍼(101)를 병진시키고 XSF-YSF 평면과 동일 평면 상의 축을 중심으로 웨이퍼 스테이지(144)에 대하여 회전 스테이지(158) 및 웨이퍼(101)를 기울이고 경사지게 한다. 회전 스테이지(158)는 웨이퍼(101)의 표면에 수직인 축을 중심으로 웨이퍼(101)를 회전시킨다.The actuator 145 translates the transverse alignment stage 142 relative to the base frame 141 along the X BF axis. The rotary actuator 146 rotates the stage reference frame 143 relative to the lateral alignment stage 142 about a rotation axis 153 aligned with the Y NF axis. The rotating actuator 146 orients the wafer 101 with respect to the illumination beam 116 over a range of incident angles θ. Wafer stage actuators 147 and 148 translate wafer stage 144 relative to stage reference frame 143 along the X RF and Y RF axes, respectively. The actuators 150A-C, in cooperation, translate the rotating stage 158 and the wafer 101 relative to the wafer stage 144 in the Z SF direction, and the wafer stage around an axis coplanar with the X SF -Y SF plane. Tilt and tilt the rotating stage 158 and the wafer 101 with respect to 144. The rotation stage 158 rotates the wafer 101 around an axis perpendicular to the surface of the wafer 101.

요약하면, 웨이퍼 스테이지(144)는, 조명 빔(116)이 웨이퍼(101)의 표면 상의 임의의 위치(즉, XRF 및 YRF 방향에서 적어도 300 밀리미터 범위)에 입사할 수도 있도록 조명 빔(116)에 대하여 웨이퍼(101)를 이동시킬 수 있다. 회전 액추에이터(146)는, 조명 빔(116)이 큰 범위의 입사각(예를 들면, 2 도보다 더 큼) 중 임의의 것에서 웨이퍼(101)의 표면에 입사될 수도 있도록 조명 빔(116)에 대하여 스테이지 기준 프레임(143)을 회전시킬 수 있다. 하나의 실시형태에서, 회전 액추에이터(146)는 적어도 60 도의 범위에 걸쳐 스테이지 기준 프레임(143)을 회전시키도록 구성된다. 웨이퍼 스테이지(144)에 장착되는 회전 액추에이터(158)는, 조명 빔(116)이 큰 범위의 방위각(예를 들면, 적어도 90도 회전 범위) 중 임의의 것에서 웨이퍼(101)의 표면에 입사될 수도 있도록, 조명 빔(116)에 대하여 웨이퍼(101)를 회전시킬 수 있다.In summary, the wafer stage 144 allows the illumination beam 116 to enter any location on the surface of the wafer 101 (ie, at least 300 millimeters in the X RF and Y RF directions). ), The wafer 101 can be moved. Rotating actuator 146 is relative to illumination beam 116 such that illumination beam 116 may be incident on the surface of wafer 101 at any of a large range of angles of incidence (eg, greater than 2 degrees). The stage reference frame 143 may be rotated. In one embodiment, the rotary actuator 146 is configured to rotate the stage reference frame 143 over a range of at least 60 degrees. A rotating actuator 158 mounted on the wafer stage 144 may cause the illumination beam 116 to enter the surface of the wafer 101 at any of a large range of azimuthal angles (eg, at least a 90 degree rotation range). Thus, the wafer 101 can be rotated relative to the illumination beam 116.

몇몇 다른 실시형태에서, 횡방향 정렬 스테이지(142)가 제거되고 스테이지 기준 프레임(143)은 회전 액추에이터(146)에 의해 베이스 프레임(141)에 대하여 회전된다. 이들 실시형태에서, x 선 조명 시스템은, x 선 조명 빔(116)으로 하여금, 예를 들면, XBF 방향에서, 베이스 프레임(141)에 대하여 이동하게 하는 x 선 조명 시스템의 하나 이상의 광학 엘리먼트를 이동시키는 하나 이상의 액추에이터를 포함한다. 이들 실시형태에서, 스테이지 기준 스테이지(143)의 움직임은, 예를 들면, x 선 조명 빔을 회전 축(153)에 대하여 소망되는 위치로 이동시키기 위해 x 선 조명 시스템의 하나 이상의 광학 엘리먼트의 움직임으로 대체된다.In some other embodiments, lateral alignment stage 142 is removed and stage reference frame 143 is rotated relative to base frame 141 by rotating actuator 146. In these embodiments, the x-ray illumination system provides one or more optical elements of the x-ray illumination system that cause the x-ray illumination beam 116 to move relative to the base frame 141, eg, in the X BF direction. And one or more actuators to move. In these embodiments, the movement of the stage reference stage 143 is, for example, the movement of one or more optical elements of the x-ray illumination system to move the x-ray illumination beam to a desired position relative to the rotation axis 153. Is replaced.

묘사된 실시형태에서, 빔 성형 슬릿 메커니즘(120)은, 각각의 입사각, 방위각, 또는 둘 모두에 대한 입사 빔의 프로파일을 최적화하기 위해 시료의 방위와 협조하여 빔 축을 중심으로 회전하도록 구성된다. 이러한 방식으로, 빔 형상은 계측 타겟의 형상에 매칭된다. 도 5에서 묘사되는 바와 같이, 회전 액추에이터(122)는 조명 빔의 축(116)을 중심으로 프레임(120) 및 모든 부착된 메커니즘, 액추에이터, 센서, 및 슬릿을 회전시킨다.In the depicted embodiment, the beam shaping slit mechanism 120 is configured to rotate about the beam axis in cooperation with the orientation of the sample to optimize the profile of the incident beam for each angle of incidence, azimuth, or both. In this way, the beam shape is matched to the shape of the metrology target. As depicted in FIG. 5, the rotating actuator 122 rotates the frame 120 and all attached mechanisms, actuators, sensors, and slits around the axis 116 of the illumination beam.

추가적인 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 1에서 묘사되는 바와 같이, 시스템(100)은 검출기(119)에 의해 생성되는 신호(135)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하고 결정된 주목하는 파라미터(139)를 메모리(예를 들면, 메모리(190))에 저장하기 위해 활용되는 컴퓨팅 시스템(130)을 포함한다.In a further aspect, the T-SAXS system is utilized to determine a sample's properties (eg, structural parameter values) based on one or more diffraction orders of scattered light. As depicted in FIG. 1, the system 100 acquires the signal 135 generated by the detector 119 and determines the properties of the sample based at least in part on the obtained signal and determines the parameter of interest 139 determined It includes a computing system 130 that is utilized to store in a memory (eg, memory 190).

몇몇 실시형태에서, SAXS에 기초한 계측은, 측정된 데이터를 갖는 미리 결정된 측정 모델의 역해(inverse solution)에 의해 샘플의 치수를 결정하는 것을 수반한다. 측정 모델은 몇몇(대략 열 개) 조정 가능한 파라미터를 포함하며 시료의 기하학적 형상과 광학적 속성 및 측정 시스템의 광학적 속성을 나타낸다. 역해의 방법은, 모델 기반의 회귀, 단층 촬영, 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 그러나 이들로 제한되지는 않는다. 이러한 방식에서, 타겟 프로파일 파라미터는, 측정된 산란된 x 선 강도와 모델링된 결과 사이의 에러를 최소화하는 파라미터화된 측정 모델(parameterized measurement model)의 값을 분해하는 것에 의해 추정된다.In some embodiments, SAXS-based metrology involves sizing a sample by inverse solution of a predetermined measurement model with measured data. The measurement model includes several (approximately ten) adjustable parameters and represents the geometry and optical properties of the sample and the optical properties of the measurement system. Inverse methods include, but are not limited to, model-based regression, tomography, machine learning, or any combination thereof. In this way, the target profile parameter is estimated by decomposing the value of a parameterized measurement model that minimizes the error between the measured scattered x-ray intensity and the modeled result.

몇몇 실시형태에서, 측정 모델은 피측정 타겟으로부터의 산란을 나타내는 이미지를 생성하는 측정의 전자기 모델(예를 들면, 보른 웨이브 모델(Born Wave Model))이다. 예를 들면, 도 9b 및 도 10b에서 묘사되는 이미지(185 및 186)는 피측정 타겟으로부터의 산란을 나타내는 이미지이다. 모델링된 이미지는 프로세스 제어 파라미터(예를 들면, 에칭 시간, 에칭 기울기, 에칭 선택도, 퇴적 레이트, 초점, 조사량(dosage), 등등)에 의해 파라미터화될 수도 있다. 모델링된 이미지는 또한, 측정된 구조체의 구조적 파라미터(예를 들면, 높이, 상이한 높이에서의 직경, 다른 구조체에 대한 홀의 정렬, 홀 피쳐의 직진성, 홀 피쳐의 동심도(concentricity), 깊이의 함수로서의 퇴적된 층의 두께, 특정한 홀 피쳐에 걸친 또는 상이한 홀 피쳐 사이의 퇴적된 층의 균일성, 등등)에 의해 파라미터화될 수도 있다.In some embodiments, the measurement model is an electromagnetic model of measurement (eg, a Born Wave Model) that produces an image representative of scattering from the target to be measured. For example, the images 185 and 186 depicted in FIGS. 9B and 10B are images showing scattering from a target to be measured. The modeled image may be parameterized by process control parameters (eg, etch time, etch slope, etch selectivity, deposition rate, focus, dosage, etc.). The modeled image is also a structural parameter of the measured structure (eg, height, diameter at different heights, alignment of holes relative to other structures, straightness of hole features, concentricity of hole features, deposition as a function of depth. Layer thickness, uniformity of deposited layers over specific hole features or between different hole features, etc.).

측정된 산란 이미지는 역해를 수행하는 것에 의해 주목하는 파라미터 중 하나 이상의 값을 추정하기 위해 활용된다. 몇몇 예에서, 역해는, 측정된 이미지와 가장 매치하는 모델링된 산란 이미지를 생성하는, 프로세스 파라미터, 기하학적 파라미터, 또는 둘 모두의 값을 추정한다. 몇몇 예에서, 산란 이미지의 공간은 회귀 방법(예를 들면, 경사 하강법, 등등)에 의해 측정 모델을 사용하여 검색된다. 몇몇 예에서, 사전 계산된 이미지의 라이브러리가 생성되고, 라이브러리는 모델링된 이미지와 측정된 이미지 사이의 최상의 매치로 나타나는 주목하는 파라미터 중 하나 이상의 값을 찾기 위해 검색된다.The measured scattering image is utilized to estimate the value of one or more of the parameters of interest by performing an inverse solution. In some examples, the inverse estimates values of process parameters, geometric parameters, or both, that produce a modeled scattering image that best matches the measured image. In some examples, the space of the scattering image is retrieved using a measurement model by a regression method (eg, gradient descent, etc.). In some examples, a library of pre-computed images is created, and the library is searched for values of one or more of the parameters of interest that appear to be the best match between the modeled and measured images.

몇몇 다른 예에서, 측정 모델은 산란 이미지의 많은 샘플 및 공지된 프로세스 조건, 기하학적 파라미터 값, 또는 양자를 연관시키기 위해 머신 러닝 알고리즘에 의해 트레이닝된다. 이러한 방식으로, 트레이닝된 측정 모델은, 측정된 산란 이미지를, 프로세스 파라미터, 기하학적 파라미터 또는 둘 모두의 추정된 값에 매핑한다. 몇몇 예에서, 트레이닝된 측정 모델은, 실제 측정치와 주목하는 파라미터 사이의 직접적인 함수 관계를 정의하는 신호 응답 계측(signal response metrology; SRM) 모델이다.In some other examples, the measurement model is trained by machine learning algorithms to correlate many samples of scattered images and known process conditions, geometric parameter values, or both. In this way, the trained measurement model maps the measured scattering image to estimated values of process parameters, geometric parameters, or both. In some examples, the trained measurement model is a signal response metrology (SRM) model that defines a direct functional relationship between the actual measurement and the parameter of interest.

일반적으로, 본원에서 설명되는 트레이닝된 모델 중 임의의 것은 신경망 모델로서 구현된다. 다른 예에서, 트레이닝된 모델 중 임의의 것은, 선형 모델, 비선형 모델, 다항식 모델, 응답 표면 모델(response surface model), 지원 벡터 머신 모델(support vector machines model), 결정 트리 모델(decision tree model), 랜덤 포레스트 모델(random forest model), 딥 네트워크 모델(deep network model), 컨볼루션 네트워크 모델(convolutional network model), 또는 다른 타입의 모델로서 구현될 수도 있다.In general, any of the trained models described herein are implemented as neural network models. In other examples, any of the trained models may include a linear model, a nonlinear model, a polynomial model, a response surface model, a support vector machines model, a decision tree model, It may be implemented as a random forest model, a deep network model, a convolutional network model, or other type of model.

몇몇 예에서, 본원에서 설명되는 트레이닝된 모델 중 임의의 것은 모델의 조합으로서 구현될 수도 있다. 모델 트레이닝의 추가적인 설명 및 반도체 측정을 위한 트레이닝된 측정 모델의 사용은, Pandev 등등에 의한 미국 특허 공개 번호 제2016/0109230호에서 제공되는데, 이 특허 출원의 내용은 참조에 의해 그 전체가 본원에 통합된다.In some examples, any of the trained models described herein may be implemented as a combination of models. An additional description of model training and the use of trained measurement models for semiconductor measurements are provided in US Patent Publication No. 2016/0109230 by Pandev et al., The contents of which are incorporated herein by reference in their entirety. do.

몇몇 다른 예에서, 사전 고려된 기하학적 형상 및 재료 분포를 포함하지 않는 자유 형태 모델은, 피측정 구조체의 기하학적 형상 및 재료 파라미터를 설명한다. 몇몇 예에서, 모델은 각각 독립적으로 조정 가능한 재료 파라미터 값(예를 들면, 전자 밀도, 흡수율, 또는 복소 굴절률(complex refractive index))을 갖는 많은 작은 복셀(부피 요소)을 포함한다. 몇몇 다른 실시형태에서, 재료 속성은 구분적으로(piecewise) 일정하다. 각각의 상이한 재료와 연관되는 속성은 선험적으로(a priori) 결정된다. 상이한 재료 사이의 경계는 자유 형태의 표면이며, 이들 표면은 레벨 설정 알고리즘에 의해 결정될 수 있다.In some other examples, a free-form model that does not include pre-considered geometric shapes and material distributions describes the geometric shapes and material parameters of the structure to be measured. In some examples, the model includes many small voxels (volume elements), each with independently adjustable material parameter values (eg, electron density, absorption, or complex refractive index). In some other embodiments, the material properties are piecewise constant. The attributes associated with each different material are determined a priori. The boundary between different materials is a free-form surface, which can be determined by a leveling algorithm.

측정된 산란측정법 데이터는 샘플의 이미지를 계산하기 위해 사용된다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이들 재료 특성의 조합의 이차원(two dimensional; 2-D) 맵이다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이들 재료 특성의 조합의 삼차원(three dimensional; 3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약을 사용하여 생성된다. 이들 기술은 Sezginer 등등에 의한 미국 특허 공개 번호 제2015/0300965호에서 더욱 상세하게 설명되는데, 이 미국 특허의 주제는 참조에 의해 그 전체가 본원에 통합된다.The measured scatterometry data is used to calculate the image of the sample. In some examples, the image is a two dimensional (2-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. In some examples, the image is a three dimensional (3-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. Maps are created using relatively few physical constraints. These techniques are described in more detail in US Patent Publication No. 2015/0300965 by Sezginer et al., The subject matter of which is incorporated herein by reference in its entirety.

몇몇 실시형태에서, 측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위해, 큰 범위의 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은, 평면 방위를 벗어나는 다양한 큰 각도를 포함하도록 분석에 이용 가능한 데이터 세트의 수와 다양성을 확장시키는 것에 의해, 파라미터 사이의 상관 관계를 감소시킨다. 예를 들면, 법선 방위에서, T-SAXS는 피쳐의 임계 치수를 분해할 수 있지만, 그러나 피쳐의 측벽 각도 및 높이에 대해서는 크게 민감하지 않다. 그러나, 광범위한 평면 외 각도 방위에 걸쳐 측정 데이터를 수집하는 것에 의해, 피쳐의 측벽 각도 및 높이가 분해될 수 있다. 다른 예에서, 큰 범위의 입사각 및 방위각에서 수행되는 측정은, 그들의 전체 깊이를 통해 고 애스펙트비 구조체를 특성 묘사하기에 충분한 분해능 및 침투 깊이를 제공한다.In some embodiments, it is desirable to perform measurements at a large range of angles of incidence and azimuth to increase the precision and accuracy of the measured parameter values. This approach reduces the correlation between parameters by expanding the number and variety of data sets available for analysis to include various large angles out of the plane orientation. For example, in normal orientation, T-SAXS can decompose the critical dimension of a feature, but is not very sensitive to the sidewall angle and height of the feature. However, by collecting measurement data over a wide range of out-of-plane angular orientation, the sidewall angle and height of the feature can be resolved. In another example, measurements performed at a large range of angles of incidence and azimuth provide sufficient resolution and penetration depth to characterize high aspect ratio structures through their entire depth.

회절된 방사선의 강도의 측정치가, 웨이퍼 표면 법선에 대한 x 선 입사각의 함수로서 수집된다. 다수의 회절 차수에 포함되는 정보는 통상적으로 고려 하에 있는 각각의 모델 파라미터 사이에서 고유하다. 따라서, x 선 산란은 작은 에러 및 감소된 파라미터 상관 관계를 갖는 주목하는 파라미터의 값에 대한 추정 결과를 산출한다.A measure of the intensity of the diffracted radiation is collected as a function of the x-ray angle of incidence relative to the wafer surface normal. The information included in multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scattering yields estimation results for the values of the parameters of interest with small errors and reduced parameter correlation.

하나의 양태에서, 측정 영역에 걸친 하나 이상의 구조체의 X 선 산란측정법 측정은 하나 이상의 구조체의 복수의 하위 구조체로의 분해, 측정 영역의 복수의 하위 영역으로의 분해, 또는 둘 모두를 포함한다. 측정 영역은 주어진 인스턴트 또는 측정 간격(예를 들면, 개개의 측정을 위한 데이터 수집의 지속 기간)에서 계측 시스템에 의해 측정되는 반도체 웨이퍼의 영역이다. 분해된 구조체, 측정 영역, 또는 둘 모두는 독립적으로 시뮬레이팅된다. 보른 근사법(Born Approximation)으로 정확하게 표현되는 X 선 산란측정법 측정 애플리케이션의 경우, 하위 구조체, 하위 영역, 또는 둘 모두의 독립적 특성에 기초하여 충분히 정확한 계측 모델이 생성된다. X-Ray의 약한 산란에 기인하여, 반도체 구조체의 SAXS 측정은 보른 근사법을 일반적으로 따른다.In one aspect, X-ray scatterometry measurements of one or more structures across a measurement region include decomposition of one or more structures into a plurality of substructures, decomposition of a measurement region into a plurality of subregions, or both. The measurement area is the area of the semiconductor wafer that is measured by the metrology system at a given instant or measurement interval (eg, the duration of data collection for individual measurements). The disassembled structure, measurement region, or both are simulated independently. For X-ray scatterometry measurement applications that are accurately represented by Born Approximation, a sufficiently accurate metrology model is generated based on the independent properties of substructures, subregions, or both. Due to the weak scattering of X-Ray, SAXS measurements of semiconductor structures generally follow the Born approximation method.

보른 근사법으로 정확하게 표현되는 주기적 구조체의 X 선 산란측정법 측정의 경우, 구조체의 주어진 높이에서 구조체의 무한소 슬라이스(infinitesimal slice)로부터의 주어진 산란 차수에 대한 필드 강도는 주기적 구조체의 푸리에(Fourier) 계수에 선형적으로 비례한다. 총 필드 강도는 수직 방향에서의 모든 강도의 통합을 필요로 한다. 통합이 선형적 연산이기 때문에, 임의의 레이어로부터의 필드는 선형적으로 누산된다. 유사하게, 보른 근사법으로 정확하게 표현되는 거의 주기적 구조체의 X 선 산란측정법 측정의 경우, 주어진 산란 차수에 대한 필드 강도는, 순수한 주기적 구조체와 동일한 양식으로 거의 주기적 구조체의 푸리에 계수의 근사에 선형적으로 비례한다. 따라서, 산란과 특정한 주기적, 또는 거의 주기적 구조체 사이에 선형적 관계가 존재한다. 예를 들면, 서로의 상부에 적층되는 두 개의 주기적 구조체의 산란은, 각각의 개개의 주기적 구조체로부터의 산란의 선형적 조합이다.For X-ray scatterometry measurements of periodic structures accurately represented by the Born approximation, the field strength for a given scattering order from an infinitesimal slice of the structure at a given height of the structure is linear to the Fourier coefficient of the periodic structure. Is proportional to the enemy. Total field strength requires the integration of all strengths in the vertical direction. Since integration is a linear operation, fields from any layer accumulate linearly. Similarly, for X-ray scatterometry measurements of nearly periodic structures that are accurately represented by the Born approximation, the field strength for a given scattering order is linearly proportional to the approximation of the Fourier coefficient of the nearly periodic structure in the same form as the pure periodic structure. do. Thus, there is a linear relationship between scattering and certain periodic or near periodic structures. For example, scattering of two periodic structures stacked on top of each other is a linear combination of scattering from each individual periodic structure.

도 6은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 측정 분해 엔진(160)을 예시하는 다이어그램이다. 도 6에서 묘사되는 바와 같이, 측정 분해 엔진(160)은, 다수의 분해된 측정 엘리먼트(S1, …, SN)의 각각과 연관되는 구조체 모델(structural model)을 생성하는 구조체 분해 모듈(structural decomposition module)(161)을 포함하는데, 여기서 N은 임의의 적절한 정수 값이다. 몇몇 예에서, 분해된 측정 엘리먼트는 피측정 구조체의 하위 구조체이다. 몇몇 다른 예에서, 분해된 측정 엘리먼트는 측정 영역의 하위 영역 내에서 측정되는 임의의 구조체(들) 또는 하위 구조체(들)를 포함한다. 몇몇 실시형태에서, 구조체 모델(즉, 1621, …, 162N) 중 하나 이상은, 하위 영역(들) 내의 측정된 하위 구조체(들), 구조체(들) 또는 하위 구조체(들), 또는 양자와 연관되는 재료 속성을 또한 포함한다. 각각의 구조체 모델(1621, …, 162N)은 대응하는 응답 모듈(1631, …, 163N)로 전달된다. 각각의 응답 모듈(1631, …, 163N)은 각각의 분해된 측정 엘리먼트(S1, …, SN)에 대응하는 산란 응답(1641, …, 164N)을 독립적으로 생성한다.6 is a diagram illustrating an example measurement decomposition engine 160 implemented by computing system 130. As depicted in FIG. 6, the measurement decomposition engine 160 constructs a structural decomposition module that generates a structural model associated with each of the plurality of decomposed measurement elements S 1 ,…, S N. decomposition module) 161, where N is any suitable integer value. In some examples, the disassembled measurement element is a substructure of the structure to be measured. In some other examples, the disassembled measurement element includes any structure (s) or substructure (s) that are measured within a subregion of the measurement region. In some embodiments, one or more of the structure models (ie, 162 1 ,…, 162 N ), measured substructure (s), substructure (s) or substructure (s) in subregion (s), or both Also includes material properties associated with. Each structure model (162 1 , ..., 162 N ) is passed to the corresponding response module (163 1 , ..., 163 N ). Each response module 163 1 ,…, 163 N independently generates scattering responses 164 1 ,…, 164 N corresponding to each decomposed measurement element S 1 ,…, S N.

몇몇 실시형태에서, 측정 중인 복잡한 주기적 구조체는 간단한 주기적 하위 구조체의 합산으로서 모델링된다. 이들 실시형태에서, 구조체 분해 모듈(161)은 간단한 주기적 하위 구조체의 각각과 연관되는 구조체 모델을 생성한다. 이들 하위 구조체의 각각과 연관되는 산란은 독립적으로 시뮬레이팅된다. 복잡한 주기적 기하학적 형상의 구조체의 경우, 복잡한 구조체를 근사하기 위해, 여러 가지 간단한 주기적 형상이 서로 끼워 맞춰진다. 이러한 방식으로, 복잡한 구조체는 여러 가지 간단한 주기적 형상의 합산에 의해 수학적으로 근사된다. 이러한 방식으로, 모델링된 X 선 산란은 복잡한 구조체의 산란과 본질적으로 동일하다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 동일한 주기성의 상이한 주기적 형상이 활용된다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 상이한 주기성을 갖는 상이한 주기적 형상이 활용된다. 몇몇 예에서, 복잡한 구조체를 근사하기 위해, 거의 주기적 방식으로 다수 회 반복되는 상대적으로 간단한 형상이 활용된다.In some embodiments, the complex periodic structure being measured is modeled as the sum of simple periodic substructures. In these embodiments, structure decomposition module 161 generates a structure model associated with each of the simple periodic substructures. The scattering associated with each of these substructures is simulated independently. In the case of a structure having a complex periodic geometric shape, in order to approximate the complex structure, various simple periodic shapes are fitted to each other. In this way, complex structures are mathematically approximated by the summation of several simple periodic shapes. In this way, modeled X-ray scattering is essentially the same as scattering of complex structures. In some instances, to approximate complex structures, different periodic shapes of the same periodicity are utilized. In some examples, to approximate complex structures, different periodic shapes with different periodicity are utilized. In some examples, to approximate a complex structure, a relatively simple shape that is repeated many times in an almost periodic fashion is utilized.

몇몇 예에서, 복잡한 형상은 주기적으로 복제되는 간단한 형상을 포함하는 주기적 모델의 총 부피의 아주 조금도 소비하지 않는다. 이들 예 중 일부에서, 복잡한 주기적 구조체는 작은 주기를 갖는 간단한 구조체 및 작은 주기의 정수배인 큰 주기를 갖는 다른 구조적 교란으로서 근사된다. 이러한 방식으로, 중첩하는(즉, Q 공간(Q-space)에서의 측정과 동일한 산란 각도를 공유하는) 작은 주기 및 큰 주기의 산란된 차수가 합산된다.In some instances, complex shapes consume very little of the total volume of the periodic model, including simple shapes that are periodically replicated. In some of these examples, complex periodic structures are approximated as simple structures with small periods and other structural disturbances with large periods that are integer multiples of small periods. In this way, scattered orders of small and large periods that overlap (ie share the same scattering angle as the measurement in Q-space) are summed.

도 7은 피측정 반도체 구조체의 단위 셀(170)을 묘사한다. 각각의 단위 셀(170)은 매립된 라인 구조체(172) 상에 제조되는 콘택 구조체(contact structure)(171)의 어레이를 포함한다. 매립된 라인 구조체(172)는 상이한 재료의 블록(174)에 의해 주기적으로 중단되는(interrupted) 재료의 라인(173)을 포함한다. 매립된 라인 구조체(172)의 공간 주기성은 콘택(171)의 공간 주기성보다 상당히 더 크다. 하나의 예에서, 매 10 번째 콘택은 재료의 블록(174)에 대응한다. 이 예에서, 콘택의 어레이(171)의 매 10 번째 산란된 차수는, 상이한 재료의 각각의 블록의 각각의 산란된 차수와 중첩한다(즉, Q 공간에서의 측정과 동일한 산란 각도를 공유함). 이 예에서, 계측 구조체는 반복되는 일련의 단위 셀(170)이다. 이 예에서, 전체 계측 구조체가 아닌, 단지 단위 셀(170)만이 모델링된다. 더구나, 각각의 상이한 하위 구조체(즉, 매립된 라인 구조체(172) 및 콘택 구조체(171)의 어레이)와 연관되는 산란은 Q 공간에서 합산된다. 이러한 방식으로, 각각의 상이한 하위 구조체와 연관되는 산란은 독립적으로 시뮬레이팅되고 계측 구조체의 산란의 추정치에 도달하도록 합산된다.7 depicts a unit cell 170 of a semiconductor structure to be measured. Each unit cell 170 includes an array of contact structures 171 fabricated on embedded line structures 172. The buried line structure 172 includes lines 173 of material that are periodically interrupted by blocks 174 of different materials. The spatial periodicity of the buried line structure 172 is significantly greater than that of the contact 171. In one example, every tenth contact corresponds to a block 174 of material. In this example, every tenth scattered order of the array of contacts 171 overlaps each scattered order of each block of different material (ie, shares the same scattering angle as the measurement in Q space). . In this example, the metrology structure is a series of repeating unit cells 170. In this example, only the unit cell 170 is modeled, not the entire metrology structure. Moreover, the scattering associated with each different sub-structure (ie, an array of buried line structures 172 and contact structures 171) is summed in Q space. In this way, scattering associated with each different substructure is simulated independently and summed to reach an estimate of the scattering of the metrology structure.

측정 영역은 다수의 온-디바이스 구조체 또는 디바이스와 같은 산란측정법 타겟을 포함할 수도 있다. 몇몇 실시형태에서, 측정 영역은 상이한 구조체 또는 하위 구조체의 조합과 각각 연관되는 다수의 상이한 하위 영역으로 세분된다. 이들 실시형태에서, 구조체 분해 모듈(161)은 하위 영역의 각각 또는 각각의 하위 영역의 각각의 하위 구조체와 연관되는 구조체 모델을 생성한다. 이들 하위 영역의 각각과 연관되는 산란은 독립적으로 시뮬레이팅된다.The measurement region may include a scatterometry target, such as a number of on-device structures or devices. In some embodiments, the measurement region is subdivided into a number of different sub-regions, each associated with a different structure or combination of sub-structures. In these embodiments, the structure decomposition module 161 generates a structure model associated with each substructure of each subregion or each of the subregions. The scattering associated with each of these sub-regions is simulated independently.

도 8a는 구조체(178) 및 구조체(176 및 177)의 일부를 포함하는 측정 영역(179)을 묘사한다. 몇몇 예에서, 조명 강도(illumination intensity)는 측정 영역(179)에 걸쳐 균일하다. 이들 예에서, 각각의 영역으로부터의 강도 기여는 각각의 하위 영역의 면적과 비례한다. 예를 들면, 측정 영역(179)의 배경과 연관되는 하위 영역은 측정 영역의 20 %이고, 구조체(176)와 연관되는 하위 영역은 측정 영역(179)의 60 %이고, 구조체(177)와 연관되는 하위 영역은 측정 영역(179)의 10 %이고, 구조체(178)와 연관되는 하위 영역은 측정 영역(179)의 10 %이다. 그러나, 몇몇 다른 예에서, 조명 강도는 측정 영역(179)에 걸쳐 균일하지 않다. 예를 들면, 도 8b는 측정 영역(179)에 걸쳐 균일하지 않은 분포의 조명 강도의 플롯(180)을 묘사한다. 이들 예에서, 각각의 영역으로부터의 강도 기여는 측정 영역의 각각의 상이한 하위 영역의 강도 분포를 통합하여 각각의 영역으로부터의 강도 기여를 결정하는 것에 의해 계산된다.8A depicts measurement region 179 including structure 178 and portions of structures 176 and 177. In some examples, the illumination intensity is uniform across the measurement area 179. In these examples, the intensity contribution from each region is proportional to the area of each sub-region. For example, the subregion associated with the background of the measurement region 179 is 20% of the measurement region, the subregion associated with the structure 176 is 60% of the measurement region 179, and is associated with the structure 177 The sub-region to be 10% of the measurement area 179, and the sub-region associated with the structure 178 is 10% of the measurement area 179. However, in some other examples, the illumination intensity is not uniform across the measurement area 179. For example, FIG. 8B depicts a plot 180 of the illumination intensity of a non-uniform distribution across the measurement area 179. In these examples, the intensity contribution from each area is calculated by integrating the intensity distribution of each different sub-region of the measurement area to determine the intensity contribution from each area.

다른 양태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 응답은 독립적으로 시뮬레이팅된다. 도 6에서 묘사되는 바와 같이, 비제한적인 예로서, 각각의 응답 모듈(1631, …, 163N)은 각각의 분해된 측정 엘리먼트에 대응하는 산란 응답(1641, …, 164N)을 독립적으로 생성한다. 일반적으로, 각각의 분해된 구조체와 연관되는 복합 산란 필드(complex scattered field)는 독립적으로 계산된다. 일반적으로, 임의의 적절한 전자기 모델링 솔버(solver)(예를 들면, 유한 요소법(Finite Element Method; FEM), 정밀한 결합파 해석(Rigorous Coupled Wave Analysis; RCWA), 보른 분석(Born Analysis), 등등)를 사용하여 각각의 분해된 측정 엘리먼트와 연관되는 산란 필드(scattered field)를 시뮬레이팅하기 위해, 각각의 분해된 각각의 측정 엘리먼트의 푸리에 변환이 계산되어 사용된다. 바람직한 실시형태에서, 각각의 결과적으로 나타나는 산란 필드는, 검출기에서 각각의 분해 측정 엘리먼트와 연관되는 산란 필드의 추정치에 도달하도록 시스템 모델을 통해 전파된다. 몇몇 다른 실시형태에서, 각각의 분해된 측정 엘리먼트와 연관되는 산란 필드는 타겟에서 결합되고 결합된 산란 필드는 검출기에서 결합된 산란 필드의 추정치에 도달하도록 시스템 모델을 통해 전파된다.In another aspect, the scattering response associated with each resolved measurement element is simulated independently. As depicted in FIG. 6, as a non-limiting example, each response module 163 1 ,…, 163 N is independent of the scattering response 164 1 ,…, 164 N corresponding to each decomposed measurement element. Is created by Generally, the complex scattered field associated with each decomposed structure is calculated independently. In general, any suitable electromagnetic modeling solver (e.g., Finite Element Method (FEM), Rigorous Coupled Wave Analysis (RCWA), Born Analysis, etc.) To simulate the scattered field associated with each decomposed measurement element using the Fourier transform of each decomposed measurement element is calculated and used. In a preferred embodiment, each resulting scattering field is propagated through the system model to reach an estimate of the scattering field associated with each resolution measurement element at the detector. In some other embodiments, the scattering field associated with each decomposed measurement element is combined at the target and the combined scattering field is propagated through the system model to reach an estimate of the combined scattering field at the detector.

또 다른 양태에서, 독립적으로 시뮬레이팅된 분해된 구조체의 각각의 산란 기여는, 측정 영역 내에서 측정된 구조체의 실제 산란을 시뮬레이팅하기 위해 결합된다. 도 6에서 묘사되는 바와 같이, 비제한적인 예로서, 신호 재조합 모듈(signal recombination module)(165)이 각각의 분해된 측정 엘리먼트에 대응하는 산란 응답의 조합에 대한 모델링된 강도(166)를 검출기에서 추정한다.In another aspect, each scattering contribution of the independently simulated degraded structure is combined to simulate the actual scattering of the structure measured within the measurement region. As depicted in FIG. 6, as a non-limiting example, the signal recombination module 165 has a modeled intensity 166 for the combination of scattering responses corresponding to each degraded measurement element at the detector. Estimate.

일반적으로, 분해된 측정 구조체의 임의의 조합과 연관되는 산란 필드는, 기저의 구조체(들)의 조명이 가간섭성인지, 비가간섭성인지, 또는 가간섭성과 비가간섭성의 어떤 조합인지의 여부에 따라 상이하게 조합된다. 다시 말하면, 분해된 측정 구조체로부터의 모든 간섭 파(interfering wave)의 경로가 조명 소스의 가간섭성(coherence) 길이보다 더 많이 차이가 나는 경우, 조명은 완벽하게 비가간섭성이다(incoherent). 분해된 측정 구조체로부터의 모든 간섭 파의 경로가 조명 소스의 가간섭성 길이보다 더 작게 차이가 나는 경우, 조명은 완전히 가간섭성이다. 분해된 측정 구조체로부터의 일부 간섭 파의 경로가 조명 소스의 간섭 길이보다 더 작게 차이가 나고, 분해된 측정 구조체로부터의 일부 간섭 파가 조명 소스의 가간섭성 길이보다 더 많이 차이가 나는 경우, 조명은 가간섭성인 것 및 비가간섭성인 것의 조합이다.In general, the scattering field associated with any combination of decomposed measurement structures depends on whether the illumination of the underlying structure (s) is coherent, incoherent, or any combination of coherence and incoherence. According to the combination. In other words, if the path of all interfering waves from the disassembled measurement structure differs more than the coherence length of the illumination source, the illumination is perfectly incoherent. If the path of all interfering waves from the disassembled measurement structure differs less than the coherent length of the illumination source, the illumination is completely coherent. If the path of some interfering waves from the disassembled measurement structure differs less than the interference length of the illumination source, and some interfering waves from the disassembled measurement structure differ more than the coherence length of the illumination source, the illumination Is a combination of coherent and non-coherent.

예로서, 분해된 측정 구조체(S1)와 연관되는 산란 필드의 산란 진폭은 A1에 의해 주어진다. 유사하게, 분해된 측정 구조체(S2)와 연관되는 산란 필드의 산란 진폭은 A2에 의해 주어진다.As an example, the scattering amplitude of the scattering field associated with the disassembled measuring structure S 1 is given by A 1 . Similarly, the scattering amplitude of the scattering field associated with the decomposed measuring structure S 2 is given by A 2 .

분해된 측정 구조체(S1 및 S2)의 조명이 가간섭성인 것으로 간주되는 경우, q 공간의 동일한 지점에서의 결합된 강도는, 식 (1)에 의해 예시되는 바와 같이 산란 진폭의 합의 복소 켤레에 의해 승산되는 산란 진폭의 합으로서 계산된다.If the illumination of the disassembled measurement structures S 1 and S 2 is considered to be coherent, the combined intensity at the same point in q space is a complex conjugate of the sum of the scattering amplitudes, as illustrated by equation (1). It is calculated as the sum of the scattering amplitudes multiplied by.

Figure pct00001
Figure pct00001

분해된 측정 구조체(S1 및 S2)의 조명이 비가간섭성인 것으로 간주되면, q 공간의 동일한 지점에서의 결합된 강도는, 식(2)에 의해 예시되는 바와 같이 자신의 복소 켤레에 의해 승산되는 각각의 산란 진폭의 합, 즉, 검출기에서 각각의 분해된 측정 구조체의 산란 필드와 연관되는 강도의 합으로서 계산된다.If the illumination of the disassembled measurement structures S 1 and S 2 is considered incoherent, then the combined intensity at the same point in the q space is multiplied by its complex conjugate, as illustrated by equation (2) Is calculated as the sum of each scattering amplitude, i.e., the intensity associated with the scattering field of each decomposed measurement structure at the detector.

Figure pct00002
Figure pct00002

이상적인 검출기, 조명, 및 타겟의 경우, 검출기 상의 각각의 지점에 도달하는 모든 광자는 q 공간의 고유 지점에, 즉, 타겟으로부터의 고유한 산란 각도에 대응한다. 그러나, 실제로, 타겟 상의 유한한 스팟 사이즈, 빔의 넌제로 발산(non-zero divergence), 타겟에서의 비주기성, 등등과 같은 다양한 비이상적인 성질(ideality)은 각각의 산란 각도에서 유한한 점 확산 함수(finite point spread function)에 기여한다. 이들 비이상적인 성질에 기인하여, 예를 들면, 검출기 상의 한 지점에서 수신되는 광자의 일부가 두 개의 상이한 차수로부터 유래하는 것이 일반적이다. q 공간의 두 개의 상이한 지점에서 광이 산란되기 때문에, 강도는 비가간섭적으로(incoherently) 추가된다.In the case of an ideal detector, illumination, and target, all photons reaching each point on the detector correspond to a unique point in the q space, i.e. a unique scattering angle from the target. However, in practice, various non-idealities such as finite spot size on the target, non-zero divergence of the beam, aperiodic at the target, etc., are finite point diffusion functions at each scattering angle. (finite point spread function). Due to these non-ideal properties, it is common, for example, that some of the photons received at a point on the detector come from two different orders. q Since light is scattered at two different points in space, the intensity is added incoherently.

분해된 측정 구조체(S1 및 S2)의 조명이 비가간섭성 및 가간섭성 둘 모두인 것으로 간주되는 경우, 검출기에서의 결합된 강도는 식(1)에 의해 추정되는 바와 같은 결합된 강도 및 식 (2)에 의해 측정되는 바와 같은 결합된 강도의 조합으로서 계산된다. 예를 들면, 분해된 측정 구조체(S1 및 S2)의 조명이 반 비가간섭성이고 반 가간섭성인 것으로 간주되는 경우, 결합된 강도는 (0.5*Icoherent + 0.5*Iincoherent)로서 추정될 수도 있다. 이러한 방식으로, 분해된 측정 구조체(S1 및 S2)의 혼합물은 가간섭성 및 비가간섭성 산란체(scatterer)의 선형 조합으로서 간주된다.If the illumination of the disassembled measurement structures S 1 and S 2 is considered to be both incoherent and incoherent, the combined intensity at the detector is the combined intensity as estimated by equation (1) and It is calculated as the combination of combined strengths as measured by equation (2). For example, if the illumination of the disassembled measurement structures S 1 and S 2 is considered to be semi-incoherent and semi-coherent, the combined intensity may be estimated as (0.5 * I coherent + 0.5 * I incoherent ). It might be. In this way, the mixture of decomposed measuring structures S 1 and S 2 is considered as a linear combination of coherent and non-coherent scatterers.

일반적으로, 하나 이상의 측정된 구조체의 분해는 단순화된 시뮬레이션을 가능하게 한다. 이산화(discretization), 변환 계산, 및 전자기 시뮬레이션은, 전체 주기의 단위 셀의 복합 모델에 대해 수행되는 동일한 계산보다 극적으로 더 적은 계산 노력을 사용하여 각각의 분해된 구조체에 대해 독립적으로 수행된다.In general, the decomposition of one or more measured structures allows for simplified simulation. Discretization, transformation calculations, and electromagnetic simulations are performed independently for each decomposed structure using dramatically less computational effort than the same calculations performed for complex models of unit cells of the entire period.

일반적으로, 온-디바이스 구조체 또는 디바이스와 같은 구조체의 복잡한 조합은 분해에 의해 측정될 수도 있다. 구조체의 그러한 복잡한 조합의 직접적인 모델링은, 그렇지 않으면, 시간 및 컴퓨팅 리소스에서 엄청나게 많은 비용이 들 것이다.In general, complex combinations of structures such as on-device structures or devices may be measured by decomposition. Direct modeling of such complex combinations of structures, otherwise, would be enormously expensive in time and computing resources.

그러나, 온-디바이스 측정을 위한 수집된 신호의 양은 클 수 있다. 따라서, 이들 신호 및 이들 신호의 함수(예를 들면, 야코비안(Jacobians) 및 헤시안(Hessians))을 저장하는 것은 상당한 리소스를 필요로 할 수 있다. 추가적인 양태에서, 수집된 신호 및 연관된 변환의 차원을 감소시키기 위해, 주 성분 분석(principal component analysis) 또는 임의의 다른 적절한 데이터 압축 방법론(예를 들면, 선형 또는 비선형 압축)이 활용된다.However, the amount of collected signal for on-device measurement can be large. Thus, storing these signals and functions of these signals (eg, Jacobians and Hessians) can require significant resources. In a further aspect, principal component analysis or any other suitable data compression methodology (eg, linear or nonlinear compression) is utilized to reduce the dimensions of the collected signals and associated transformations.

추가적인 양태에서, 측정 분해는 측정 모델 시뮬레이션, 측정 모델 트레이닝, 또는 측정 레시피 개발의 일부로서 활용된다.In a further aspect, measurement decomposition is utilized as part of measurement model simulation, measurement model training, or measurement recipe development.

몇몇 실시형태에서, 측정된 오버레이 계측 타겟은 측정 영역의 상이한 하위 영역에서 두 개의 상이한 구조체를 포함한다. 하나의 예에서, 계측 타겟의 절반은 한 방향(예를 들면, x 방향)으로 배향되는 격자이고, 한편 계측 타겟의 다른 절반은 직교 방향(y 방향)으로 배향되는 격자이다. 이들 실시형태에서, 두 방향(예를 들면, x 및 y 방향)에서의 오버레이는 측정 분해(즉, 각각의 격자 구조체로부터 측정된 신호의 선형 조합)에 의해 동시에 측정된다.In some embodiments, the measured overlay metrology target comprises two different structures in different sub-areas of the measurement region. In one example, half of the metrology target is a grating oriented in one direction (eg, x direction), while the other half of the metrology target is a grating oriented in an orthogonal direction (y direction). In these embodiments, overlays in both directions (eg, x and y directions) are measured simultaneously by measurement decomposition (ie, a linear combination of signals measured from each grating structure).

몇몇 실시형태에서, 측정된 오버레이 계측 타겟은 두 개보다 더 많은 층 사이의 오버레이를 동시에 측정하도록 설계되는 구조체의 조합을 포함한다. 이들 계측 타겟에서, 타겟의 상이한 부분은 상이한 층 사이의 오버레이(예를 들면, 세 개의 백 엔드 오브 더 라인 층(back end of the line layer)(M1, V0, M0) 사이의 오버레이)를 나타낸다.In some embodiments, the measured overlay metrology target includes a combination of structures that are designed to measure overlay between two or more layers simultaneously. In these metrology targets, different parts of the target represent overlays between different layers (e.g., overlays between three back end of the line layers M1, V0, M0).

SAXS 시스템은 종종 디바이스의 의도하지 않은 영역을 조명한다. 예를 들면, R-SAXS 시스템은 큰 영역을 조명하고 T-SAXS 시스템은 매립된 구조체를 조명한다. 따라서, 부수적인 비필수 데이터가 검출기 상에서 측정되는 것이 일반적이다.SAXS systems often illuminate unintended areas of the device. For example, the R-SAXS system illuminates a large area and the T-SAXS system illuminates a buried structure. Therefore, it is common for incidental non-essential data to be measured on the detector.

도 9a는 수직 입사 조명(116)을 사용한 복합 반도체 구조체(181)의 측정을 묘사한다. 복합 반도체 구조체는, 상이한 재료(184)의 블록에 의해 주기적으로 차단되는 재료의 라인을 포함하는 매립된 라인 구조체(183) 위에 제조되는 홀의 어레이(182)를 포함한다. 도 9b는 수직 조명을 사용한 복합 반도체 구조체(181)의 T-SAXS 측정과 연관되는 산란 강도의 이미지(185)를 묘사한다. 도 9b에서 묘사되는 바와 같이, 에칭된 홀로부터의 산란만이 관찰된다.9A depicts measurement of a composite semiconductor structure 181 using vertical incident illumination 116. The composite semiconductor structure includes an array of holes 182 fabricated over a buried line structure 183 that includes lines of material that are periodically blocked by blocks of different materials 184. 9B depicts an image 185 of scattering intensity associated with T-SAXS measurements of a composite semiconductor structure 181 using vertical illumination. As depicted in Figure 9B, only scattering from etched holes is observed.

도 10a는 경사 입사 조명(116)을 사용한 동일한 복합 반도체 구조체(181)의 측정을 묘사한다. 도 10b는 경사 조명을 사용한 복합 반도체 구조체(181)의 T-SAXS 측정과 연관되는 산란 강도의 이미지(186)를 묘사한다. 도 10b에서 묘사되는 바와 같이, 에칭된 홀 및 매립 라인(183) 둘 모두로부터의 산란이 관찰된다.10A depicts a measurement of the same composite semiconductor structure 181 using oblique incident illumination 116. 10B depicts an image 186 of scattering intensity associated with T-SAXS measurements of a composite semiconductor structure 181 using tilted illumination. As depicted in FIG. 10B, scattering from both etched holes and buried lines 183 is observed.

추가적인 양태에서, 하나 이상의 부수적 구조체를 포함하는 측정된 강도 및 모델링된 강도가 주목하는 구조체의 측정을 수행하기 위해 활용된다. 몇몇 예에서, 온-디바이스 측정은 계측을 위한 중요한 타겟 및 부수적 구조체로서 작용하는 복합적인 하층 구조체로 분해된다. 부수적 구조체는 검출기에서 검출되는 조명 광을 산란시키지만, 그러나 부수적 구조체는 주목하는 대상이 아니다. 따라서, 임계 구조체의 산란측정법 측정은 부수적 구조체로부터의 측정 신호로 오염된다.In a further aspect, measured strength and modeled strength comprising one or more ancillary structures are utilized to perform measurements of the structures of interest. In some instances, on-device measurements are broken down into complex underlayer structures that serve as important targets and ancillary structures for metrology. The ancillary structure scatters the illumination light detected at the detector, but the ancillary structure is not of interest. Thus, scatterometry measurements of critical structures are contaminated with measurement signals from secondary structures.

몇몇 예에서, 부수적 구조체로부터의 측정 신호에 의한 오염을 포함하여 중요한 구조체로부터 측정치가 수집된다. 또한, 동일한 부수적인 하층 위에 제조되는 간단한 구조체로부터 측정치가 수집된다. 본원에서 설명되는 바와 같은 측정 분해는, 간단한 구조체와 연관되는 측정 데이터로부터, 중요한 구조체와 연관되는 측정 데이터를 직접적으로 감산하여 부수적 하층과 연관되는 측정 신호를 효과적으로 상쇄시키기 위해 활용된다.In some instances, measurements are collected from important structures, including contamination by measurement signals from ancillary structures. In addition, measurements are collected from simple structures fabricated on the same incidental underlayer. The measurement decomposition as described herein is utilized to effectively offset the measurement signal associated with the ancillary substratum by directly subtracting the measurement data associated with the important structure from the measurement data associated with the simple structure.

다른 추가적인 양태에서, 분해된 하위 구조체의 상이한 조합을 각각 갖는 다수의 구조체의 측정이 수행된다. 몇몇 실시형태에서, 부수적 구조체로부터의 산란은 다수의 구조체의 측정에 기초하여 모델링된다. 몇몇 실시형태에서, 부수적 구조체로부터의 산란은, 구조체 중 하나가 부수적 구조체를 포함하지 않는 다수의 구조체의 측정에 기초하여 모델링된다.In another additional aspect, measurements of multiple structures, each having a different combination of degraded substructures, are performed. In some embodiments, scattering from ancillary structures is modeled based on measurements of multiple structures. In some embodiments, scattering from ancillary structures is modeled based on measurements of multiple structures in which one of the structures does not include ancillary structures.

도 10b에서 예시되는 바와 같이, 경사 조명을 사용한 구조체(181)의 측정은, 최종 패턴화된 구조체(즉, 홀(182)의 어레이) 및 하층 구조체(즉, 매립된 라인(183))로부터 산란을 생성한다. 그러나, 몇몇 실시형태에서, 최종 패턴화된 구조체를 측정하기 위해, 하층 구조체의 상세한 파라 파라메트릭 모델(detailed parametric model)을 구축할 필요는 없다.As illustrated in FIG. 10B, measurement of the structure 181 using tilted illumination is scattered from the final patterned structure (ie, the array of holes 182) and the lower layer structure (ie, the buried line 183). Produces However, in some embodiments, in order to measure the final patterned structure, it is not necessary to build a detailed parametric model of the lower layer structure.

다른 추가적인 양태에서, SAXS 측정 시스템의 모델은, 하층 구조체의 임의적인 부수적 모델(예를 들면, 랜덤 모델)을 포함하고, 모델 분해는 측정을 분해하고 최종 패턴화된 구조체와 연관되는 신호를 분리하기 위해 활용된다. 주기적 구조체는 Q 공간에서 특정한 각도에서 산란된다. 그러나, 랜덤 구조체는 Q 공간에서 많은 상이한 각도로 산란된다. 따라서, 측정 분해는 하나 이상의 측정된 주기적 구조체 및 랜덤 산란체(예를 들면, 하층 구조체)와 연관되는 산란을 분리하기 위해 활용된다.In another additional aspect, the model of the SAXS measurement system includes an arbitrary sub-model of the underlayer structure (eg, a random model), the model decomposition to decompose the measurement and separate the signal associated with the final patterned structure. It is utilized for. The periodic structure is scattered at a certain angle in Q space. However, random structures are scattered at many different angles in the Q space. Thus, measurement decomposition is utilized to separate scattering associated with one or more measured periodic structures and random scatterers (eg, underlayer structures).

몇몇 예에서, 회절 차수의 상호 작용은 하나 이상의 주목하는 파라미터(예를 들면, 임계 치수, 오버레이, 등등)에 의해 파라미터화되는 주목하는 구조체의 모델에 기초하여 분해되고, 기저의 부수적 구조체는 임의적인 파라메트릭 모델(예를 들면, 랜덤 모델)에 의해 모델링된다. 임의의 파라메트릭 모델은 시스템 모델에 의해 제한된다.In some examples, the interaction of the diffraction orders is decomposed based on a model of the structure of interest that is parameterized by one or more parameters of interest (eg, critical dimension, overlay, etc.), and the underlying ancillary structure is arbitrary It is modeled by a parametric model (eg, a random model). Any parametric model is limited by the system model.

하나의 예에서, 측정 모델은 부수적 구조체(예를 들면, 랜덤의 기저의 구조체)의 존재에서 의도된 주목하는 파라미터를 회귀 추정한다(regress).In one example, the measurement model regresses the parameter of interest intended in the presence of an ancillary structure (eg, a random underlying structure).

다른 예에서, 측정 모델은 랜덤 파라메트릭 모델을 회귀 추정하여 부수적인 데이터를 식별한다. 부수적인 데이터는 측정된 데이터로부터 제외되어 결합된 측정된 데이터로부터 동등한 부수적인 자유 데이터를 재생성한다. 그 다음, 측정 모델은 부수적인 자유 데이터로부터 의도한 주목하는 파라미터를 회귀 추정한다.In another example, the measurement model regressively estimates the random parametric model to identify ancillary data. The ancillary data is excluded from the measured data to regenerate equivalent ancillary free data from the combined measured data. The measurement model then regressively estimates the intended parameter of interest from the ancillary free data.

다른 추가적인 양태에서, 부수적 모델은 검출기 상에서 측정되는 산란된 데이터에 대해 직접적으로 동작하고, 측정된 데이터에 대한 부수적 구조체의 영향을 제거하기 위해 측정된 데이터를 효과적으로 필터링한다. 몇몇 예에서, 부수적 모델은, 부수적 구조체와 연관되는 것으로 알려져 있는 측정된 데이터에서의 관찰된 현상을 설명하기 위해 활용되는 휴리스틱 모델이다. 부수적 모델은 기본 함수의 세트에 대해 동작하는 일정한 계수를 갖는 선형 모델일 수도 있다. 계수는 측정된 데이터로부터 가능한 한 많은 부수적인 데이터를 제거하도록 조정된다. 측정된 데이터를 필터링한 이후, 결과적으로 나타나는 필터링된 측정 데이터는, 본원에 설명되는 바와 같은 주목하는 파라미터의 모델 기반의 측정의 일부로서 활용된다.In another additional aspect, the ancillary model operates directly on the scattered data measured on the detector and effectively filters the measured data to remove the effect of the ancillary structure on the measured data. In some examples, the ancillary model is a heuristic model utilized to account for observed phenomena in measured data known to be associated with the ancillary structure. The ancillary model may be a linear model with constant coefficients operating on a set of basic functions. The coefficient is adjusted to remove as much ancillary data as possible from the measured data. After filtering the measured data, the resulting filtered measurement data is utilized as part of the model-based measurement of the parameter of interest as described herein.

몇몇 다른 예에서, 관찰된 산란 함수는, 디컨볼루션, 모델 피팅, 회귀 모델(예를 들면, 신경망 모델, 등등)의 트레이닝에 의해 SAXS 시스템의 모델을 통해 추출되어, 주목하는 구조체와 연관되는 측정 신호를 분리한다. 이 접근법은 온-디바이스의 로직 구조체를 측정하는 데 특히 유용하다. 이들 측정 애플리케이션에서, 구조체는 종종 주기적 라인 및 비주기적 라인 컷(aperiodic line cut)에 의해 중단되는 공간을 포함한다. 랜덤화된 컷으로부터의 x 선 산란은, SAXS 시스템의 모델을 조정하는 것에 의해, 예를 들면, 플럭스 재정규화(flux re-normalization)에 의해 보상될 수 있다. 예를 들면, 플럭스 정규화는, 1차 회절 피크에 기여하지 않는 랜덤 컷에 기인하는 감소된 산란을 완화한다. 또한, 주기적 타겟에 대한 주목하는 파라미터의 값뿐만 아니라, 라인 컷의 사이즈는, 본원의 상기에서 설명되는 바와 같이 측정 분해에 기초하여 결정될 수도 있다.In some other examples, the observed scattering functions are extracted through the model of the SAXS system by training deconvolution, model fitting, regression models (e.g., neural network models, etc.), and measurements associated with structures of interest. Isolate the signal. This approach is especially useful for measuring on-device logic structures. In these measurement applications, structures often include spaces interrupted by periodic and aperiodic line cuts. X-ray scattering from the randomized cuts can be compensated by adjusting the model of the SAXS system, for example, by flux re-normalization. For example, flux normalization mitigates reduced scattering due to random cuts that do not contribute to the first order diffraction peaks. In addition, the size of the line cut, as well as the value of the parameter of interest for the periodic target, may be determined based on measurement decomposition as described above herein.

다른 추가적인 양태에서, 측정된 산란된 강도와 하나 이상의 주목하는 파라미터의 값 사이의 함수 관계를 확립하는, 신호 응답 계측 모델(예를 들면, 신경망 모델, 딥 러닝 네트워크 모델, 지원 벡터 머신 모델, 등등)과 같은 입력-출력 측정 모델을 트레이닝시키기 위해, 측정 분해가 활용된다.In another additional aspect, a signal response metrology model (e.g., neural network model, deep learning network model, support vector machine model, etc.) that establishes a functional relationship between the measured scattered intensity and the value of one or more noted parameters. To train an input-output measurement model such as, measurement decomposition is utilized.

몇몇 예에서, 온-디바이스 또는 디바이스와 같은 구조체의 측정으로부터 주목하는 파라미터의 값을 추정할 수 있는 라이브러리/모델을 트레이닝시키기 위해, 상대적으로 간단한 산란측정법 구조체 및 더욱 복잡한 온-디바이스 또는 디바이스와 같은 구조체로부터 측정 데이터가 수집된다. 몇몇 예에서, 상대적으로 간단한 산란측정법 타겟으로부터 수집되는 데이터는 측정 분해에 의해 더 큰 구조체의 영역에 의해 수집되는 데이터로부터 분리된다. 몇몇 예에서, 선택 가능한 조명 시스템(예를 들면, 조명을 제어하기 위한, 음향 광학 변조기, 디지털 미러 디바이스, 선택 가능한 어퍼쳐, 등등)은, 측정 영역의 사이즈를 (예를 들면, 5 마이크로미터로부터 1 밀리미터 이상으로) 변경하는 것에 의해 상대적으로 간단한 산란측정법 구조체 및 더욱 복잡한 온-디바이스 또는 디바이스와 같은 구조체의 별개의 조명을 허용한다.In some examples, relatively simple scatterometry structures and more complex structures such as on-devices or devices, to train a library / model capable of estimating the value of a parameter of interest from measurement of a structure such as on-device or device Measurement data is collected from. In some instances, data collected from relatively simple scatterometry targets are separated from data collected by regions of larger structures by measurement decomposition. In some examples, selectable illumination systems (eg, for controlling illumination, acoustooptic modulators, digital mirror devices, selectable apertures, etc.) can measure the size of the measurement area (eg, from 5 micrometers). Changes (over 1 millimeter) allow for relatively simple scatterometry structures and separate illumination of structures such as more complex on-devices or devices.

상대적으로 간단한 산란측정법 타겟은 더 큰 구조체 또는 물리적으로 분리된 구조체의 하나 이상의 용이하게 특성 묘사되는 영역일 수 있다. 측정 분해, 선택 가능한 조명, 또는 둘 모두에 의해, 손상 없는 측정 데이터가 정확한 측정 모델 트레이닝을 가능하게 한다. 트레이닝된 측정 모델은, 부수적인 데이터에 의해 손상되는 측정에 기초하여, 복합 구조체의 더 빠른 측정을 가능하게 한다. 몇몇 예에서, 측정 모델은 부수적 격자의 영향을 필터링하기 위해 셀 내 측정과 매치하는 조밀한 타겟 결과에 대해 트레이닝된다.A relatively simple scatterometry target can be one or more easily characterized regions of a larger structure or a physically separated structure. By measurement decomposition, selectable illumination, or both, damage-free measurement data enables accurate measurement model training. The trained measurement model enables faster measurement of composite structures based on measurements damaged by ancillary data. In some examples, the measurement model is trained on dense target results that match the measurements in the cell to filter out the effects of collateral gratings.

더 큰 조명 영역이 더 빠른 측정을 의미하는(예를 들면, SAXS) 광에 의해 제한되는 시스템의 경우, 이것은 또한, 레시피가 간단한 타겟으로부터(예를 들면, 분리된 신호로부터) 측정에 의거하여 생성될 수 있고, 복합 온-디바이스 측정에 의거하여 이들 측정을 보고하도록 트레이닝될 수 있다는 것을 의미한다.For systems where a larger illumination area is limited by light, which means faster measurements (e.g., SAXS), this also creates recipes based on measurements from simple targets (e.g., from separate signals). This means that it can be trained to report these measurements based on complex on-device measurements.

다른 추가적인 양태에서, 측정 분해는 특정한 측정 애플리케이션에 대한 측정 레시피를 최적화하기 위해 활용된다. 최적화된 측정 레시피는, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 측정 시스템의 물리적 파라미터의 선택을 포함한다.In another additional aspect, measurement decomposition is utilized to optimize the measurement recipe for a particular measurement application. Optimized measurement recipes include the selection of physical parameters of the measurement system that enhance the signal of interest and suppress the signal from the ancillary structures.

도 9a 및 도 9b 및 도 10a 및 도 10b에서 묘사되는 바와 같이, 검출기에서 기저의 구조체로부터의 산란의 관찰 가능성은 조명 입사각의 선택에 의존한다. 최종 패턴화된 구조체에 중점을 둔 측정 애플리케이션에서, 조명 입사각의 적절한 선택은 기저의 구조체로부터 발생하는 부수적 산란 신호의 억제를 가능하게 한다. 일반적으로, 측정 분해에 기초한 시스템 모델링은, 주목하는 신호를 향상시키고 부수적 구조체로부터의 신호를 억제하는 다양한 측정 레시피(즉, 측정 시스템 파라미터 값의 조합)의 시뮬레이션을 가능하게 한다. 예시적인 시스템 파라미터는, 발산 형상, 조명 스팟 형상, 조명 스팟 위치, 입사각, 방위각, 노출 시간, 타겟 방위, 및 소스 형상을 포함하지만, 그러나 이들로 제한되지는 않는다. 본원에서 설명되는 바와 같이 분해 측정을 위한 샘플링 전략을 사용하는 것에 의해, 신호 오염 정도는, 분해 모델의 시뮬레이션을 통해 원시 신호 잔차(raw signal residual) 또는 계측 결과에 의해 정량화될 수 있다. 또한, 이들 결과는 그럴 듯한 타겟(feasible target)이 존재하는 경우 실제 측정에 의해 검증될 수도 있다.As depicted in FIGS. 9A and 9B and FIGS. 10A and 10B, the observability of scattering from the underlying structure in the detector depends on the selection of the illumination angle of incidence. In measurement applications focusing on the final patterned structure, proper selection of the illumination angle of incidence enables suppression of incidental scattering signals originating from the underlying structure. In general, system modeling based on measurement decomposition enables simulation of various measurement recipes (i.e., a combination of measurement system parameter values) that enhance signals of interest and suppress signals from ancillary structures. Exemplary system parameters include, but are not limited to, divergent shape, illumination spot shape, illumination spot location, angle of incidence, azimuth, exposure time, target orientation, and source shape. By using a sampling strategy for decomposition measurements as described herein, the degree of signal contamination can be quantified by raw signal residual or measurement results through simulation of the decomposition model. In addition, these results may be verified by actual measurement when a feasible target exists.

다른 양태에서, 프로세스 정정은, 주목하는 파라미터(예를 들면, 임계 치수, 오버레이, 높이, 측벽 각도, 등등)의 측정된 값에 기초하여 결정되고, 수정 사항(correction)이 프로세스 툴(예를 들면, 리소그래피 툴, 에칭 툴, 퇴적 툴, 등등)로 전달되어 프로세스 툴의 하나 이상의 프로세스 제어 파라미터를 변경시킨다. 몇몇 실시형태에서, SAXS 측정이 수행되고 프로세스가 측정된 구조체 상에 대해 실행되는 동안 프로세스 제어 파라미터가 업데이트된다. 몇몇 실시형태에서, SAXS 측정은 특정한 프로세스 단계 이후에 수행되고, 그 프로세스 단계와 연관되는 프로세스 제어 파라미터는 그 프로세스 단계에 의한 미래의 디바이스의 프로세싱을 위해 업데이트된다. 몇몇 실시형태에서, SAXS 측정은 특정한 프로세스 단계 이후에 수행되고, 후속하는 프로세스 단계와 연관되는 프로세스 제어 파라미터는 후속하는 프로세스 단계에 의한 측정된 디바이스 또는 다른 디바이스의 프로세싱을 위해 업데이트된다.In another aspect, process correction is determined based on measured values of the parameters of interest (eg, critical dimensions, overlay, height, sidewall angle, etc.), and corrections are made to process tools (eg, , Lithography tool, etching tool, deposition tool, etc.) to change one or more process control parameters of the process tool. In some embodiments, SAXS measurements are performed and process control parameters are updated while the process is running on the measured structure. In some embodiments, SAXS measurements are performed after a particular process step, and the process control parameters associated with the process step are updated for processing of future devices by the process step. In some embodiments, SAXS measurements are performed after a specific process step, and the process control parameters associated with the subsequent process step are updated for processing of the measured device or other device by the subsequent process step.

몇몇 예에서, 본원에서 설명되는 측정 방법에 기초하여 결정되는 측정된 파라미터의 값은 소망되는 에칭 깊이를 달성하기 위해 에칭 시간을 조정하기 위해 에칭 툴로 전달될 수 있다. 유사한 방식에서, 에칭 툴 또는 퇴적 툴로 능동 피드백을 각각 제공하기 위해, 에칭 파라미터(예를 들면, 에칭 시간, 확산성, 등등) 또는 퇴적 파라미터(예를 들면, 시간, 농도, 등등)가 측정 모델에 포함될 수도 있다. 몇몇 예에서, 측정된 디바이스 파라미터 값에 기초하여 결정되는 파라미터를 프로세싱하기 위한 수정 사항이 프로세스 툴로 전달될 수도 있다. 하나의 실시형태에서, 컴퓨팅 시스템(130)은 계측 시스템(100)으로부터 수신되는 측정된 신호(135)에 기초하여 프로세스 동안 하나 이상의 주목하는 파라미터의 값을 결정한다. 또한, 컴퓨팅 시스템(130)은 하나 이상의 주목하는 파라미터의 결정된 값에 기초하여 제어 커맨드(138)를 프로세스 툴(예를 들면, 에칭 툴, 이온 주입 툴, 리소그래피 툴, 등등)로 전달한다. 제어 커맨드(138)는 프로세스 컨트롤러로 하여금 프로세스의 상태를 변경하게 한다(예를 들면, 에칭 프로세스를 중지시키고, 확산도를 변경하고, 리소그래피 초점을 변경하고, 리소그래피 조사량을 변경하고, 등등을 함). 하나의 예에서, 제어 커맨드(138)는 소망되는 에칭 깊이가 측정될 때 프로세스 컨트롤러로 하여금 에칭 프로세스를 정지시키게 한다. 다른 예에서, 제어 커맨드(138)는 CD 파라미터의 측정된 웨이퍼 균일성을 개선하도록 프로세스 컨트롤러로 하여금 에칭 레이트를 변경하게 한다.In some examples, the value of the measured parameter determined based on the measurement method described herein can be passed to an etching tool to adjust the etching time to achieve the desired etching depth. In a similar manner, etch parameters (eg, etch time, diffusivity, etc.) or deposition parameters (eg, time, concentration, etc.) are added to the measurement model to provide active feedback to the etch tool or deposition tool, respectively. It may be included. In some examples, modifications to process parameters determined based on measured device parameter values may be communicated to the process tool. In one embodiment, computing system 130 determines the value of one or more noted parameters during the process based on the measured signal 135 received from metrology system 100. In addition, the computing system 130 passes control commands 138 to process tools (eg, etch tools, ion implantation tools, lithography tools, etc.) based on the determined values of one or more noted parameters. The control command 138 causes the process controller to change the state of the process (e.g., stop the etching process, change the diffusivity, change the lithographic focus, change the lithography dose, etc.). In one example, control command 138 causes the process controller to stop the etch process when the desired etch depth is measured. In another example, control command 138 causes the process controller to change the etch rate to improve the measured wafer uniformity of the CD parameter.

일반적으로, 입사 x 선 조명이 주기적 피쳐와 상호 작용함에 따라, x 선 조명은 가간섭적으로, 부분적으로 가간섭적으로 또는 비가간섭적으로 산란하여 검출기(119) 상에서 회절 이미지(예를 들면, 도 9b 및 도 10b에서 묘사되는 이미지(185 및 186))를 생성한다. 소망되는 산란된 이미지 또는 산란된 이미지의 시퀀스는 프로세스 툴이 올바르게 조정되는 경우 달성된다. 그러나, 측정된 이미지가 소망되는 이미지 또는 소망되는 이미지의 시퀀스로부터 벗어날 때, 이들 편차는 프로세스 툴 드리프트 및 또한 프로세스 툴을 다시 적절한 상태(tune)로 가져가는 데 필요한 프로세스 제어 변수에 대한 수정을 나타낸다.In general, as incident x-ray illumination interacts with periodic features, x-ray illumination scatters coherently, partially coherently or non-coherently, thereby diffraction images on detector 119 (eg, The images 185 and 186 depicted in FIGS. 9B and 10B are generated. The desired scattered image or sequence of scattered images is achieved if the process tool is properly adjusted. However, when the measured image deviates from the desired image or the desired sequence of images, these deviations represent a process tool drift and also a correction to the process control parameters needed to bring the process tool back to the proper tune.

일반적으로, 계측 타겟은, 계측 타겟의 최대 횡방향 범위 치수(즉, 웨이퍼 표면과 정렬되는 치수)에 의해 나누어지는 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)로서 정의되는 애스펙트비에 의해 특성 묘사된다. 몇몇 실시형태에서, 피측정 계측 타겟은 적어도 20의 애스펙트비를 갖는다. 몇몇 실시형태에서, 계측 타겟은 적어도 40의 애스펙트비를 갖는다.In general, a metrology target is characterized by an aspect ratio defined as a maximum height dimension (i.e., dimension perpendicular to the wafer surface) divided by the maximum transverse extent dimension of the metrology target (i.e., dimension aligned with the wafer surface). Depicted. In some embodiments, the metrology target to be measured has an aspect ratio of at least 20. In some embodiments, the metrology target has an aspect ratio of at least 40.

도 11a 내지 도 11c는 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(195)의 등각 투영도, 평면도, 및 단면도를 각각 묘사한다. 메모리 디바이스(195)의 전체 높이(또는 등가적으로 깊이)는 1마이크로미터에서부터 수 마이크로미터까지의 범위에 걸친다. 메모리 디바이스(195)는 수직으로 제조되는 디바이스이다. 메모리 디바이스(195)와 같은 수직으로 제조되는 디바이스는, 본질적으로, 종래의 평면의 메모리 디바이스를 90 도 회전시켜, 비트 라인 및 셀 스트링을 수직으로(웨이퍼 표면에 수직임) 배향한다. 충분한 메모리 용량을 제공하기 위해, 상이한 재료의 많은 수의 교대하는 층이 웨이퍼 상에 퇴적된다. 이것은, 100 나노미터 이하의 최대 횡방향 범위를 갖는 구조체에 대해 수 미크론의 깊이까지 잘 수행할 것을 패턴화 프로세스에게 요구한다. 결과적으로, 25 대 1 또는 50 대 1의 애스펙트비가 보기 드문 것은 아니다.11A-11C depict isometric, top, and cross-sectional views, respectively, of a typical 3D FLASH memory device 195 that is to be measured in the manner described herein. The overall height (or equivalently depth) of the memory device 195 ranges from 1 micrometer to several micrometers. The memory device 195 is a vertically manufactured device. Vertically fabricated devices, such as memory device 195, essentially rotate the conventional planar memory device 90 degrees to orient the bit lines and cell strings vertically (perpendicular to the wafer surface). To provide sufficient memory capacity, a large number of alternating layers of different materials are deposited on the wafer. This requires the patterning process to perform well up to a depth of a few microns for structures with a maximum transverse range of 100 nanometers or less. As a result, aspect ratios of 25 to 1 or 50 to 1 are not uncommon.

비록 도 1이 투과 SAXS 측정 시스템을 묘사하지만, 본원에서 설명되는 방식으로 얕은 피쳐를 측정하기 위해, 일반적으로, 반사식 SAXS(reflective SAXS; R-SAXS) 측정 시스템이 활용될 수도 있다.Although FIG. 1 depicts a transmission SAXS measurement system, in order to measure shallow features in the manner described herein, generally, a reflective SAXS (R-SAXS) measurement system may be utilized.

도 12는 웨이퍼 상에 배치되는 반도체 구조체의 x 선 산란측정법 측정에 기초하여 웨이퍼(201)를 측정하기 위한 예시적인 R-SAXS 계측 시스템(200)을 묘사한다. R-SAXS 계측 시스템(200)은 반사식 x 선 산란계를 포함한다. 웨이퍼(201)는 웨이퍼 척(wafer chuck)(205)에 부착되고 웨이퍼 스테이지(240)에 의해 x 선 산란계에 대해 배치된다.12 depicts an exemplary R-SAXS metrology system 200 for measuring wafer 201 based on x-ray scatterometry measurements of semiconductor structures disposed on the wafer. The R-SAXS measurement system 200 includes a reflective x-ray scatterometer. Wafer 201 is attached to a wafer chuck 205 and is placed relative to the x-ray scatterometer by wafer stage 240.

묘사된 실시형태에서, R-SAXS 계측 시스템(200)은 도 1을 참조하여 조명 소스(110)의 설명과 유사한 반사식 SAXS 측정에 적절한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(210)를 포함한다.In the depicted embodiment, the R-SAXS metrology system 200 is configured to generate x-ray illumination sources 210 suitable for reflective SAXS measurements similar to the description of the illumination source 110 with reference to FIG. 1. It includes.

몇몇 예에서, 컴퓨팅 시스템(130)은, x 선 조명 소스(210)로 하여금 소망되는 에너지 레벨에서 x 선 방사선을 방출하게 하는 커맨드 신호(237)를 x 선 조명 소스(210)로 전달한다. 측정 중인 높은 종횡비 구조체에 대한 많은 정보를 갖는 측정 데이터를 획득하도록 에너지 레벨은 변경된다.In some examples, computing system 130 delivers command signal 237 to x-ray illumination source 210 to cause x-ray illumination source 210 to emit x-ray radiation at a desired energy level. The energy level is altered to obtain measurement data with a lot of information about the high aspect ratio structure being measured.

조명 빔(216)은 측정 스팟(202) 위의 시료(201)를 조명한다. 웨이퍼(201)에 대한 입사 이후, 산란된 x 선 방사선(214)은 X 선 검출기(219)에 의해 수집되고 반사식 SAXS 측정 모달리티(modality)에 따라 입사 x 선 방사선에 민감한 시료(201)의 속성을 나타내는 출력 신호(235)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(214)은 x 선 검출기(219)에 의해 수집되고, 한편, 시료 위치 결정 시스템(240)은, 컴퓨팅 시스템(230)으로부터 시료 위치 결정 시스템(240)으로 전달되는 커맨드 신호(239)에 따라 각도적으로 분해된 산란된 x 선을 생성하기 위해 시료(201)를 위치 결정하고 배향한다.The illumination beam 216 illuminates the sample 201 over the measurement spot 202. After incident on the wafer 201, the scattered x-ray radiation 214 is collected by the X-ray detector 219 and the properties of the sample 201 sensitive to incident x-ray radiation according to the reflective SAXS measurement modality To generate an output signal 235. In some embodiments, scattered x-rays 214 are collected by x-ray detector 219, while sample positioning system 240 is transferred from computing system 230 to sample positioning system 240 The sample 201 is positioned and oriented to produce a scattered x-ray that is decomposed angularly according to the command signal 239.

추가적인 양태에서, 컴퓨팅 시스템(230)은 산란된 광의 하나 이상의 회절 차수에 기초하여 웨이퍼(201)의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 13에서 묘사되는 바와 같이, 시스템(200)은 검출기(219)에 의해 생성되는 신호(235)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하고 주목하는 파라미터의 결정된 값의 표시(222)를 메모리(예를 들면, 메모리(290))에 저장하기 위해 활용되는 컴퓨팅 시스템(230)을 포함한다.In a further aspect, computing system 230 is utilized to determine the properties (eg, structural parameter values) of wafer 201 based on one or more diffraction orders of scattered light. As depicted in FIG. 13, the system 200 acquires the signal 235 generated by the detector 219 and determines the properties of the sample based at least in part on the obtained signal and of the determined value of the parameter of interest. And a computing system 230 utilized to store the indication 222 in a memory (eg, memory 290).

일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수 기입(Real Time Critical Dimensioning; RTCD)을 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 연관되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는, 시료의 할당된 CD 파라미터와 측정된 시료와 연관되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.Generally, computing system 130 is configured to access model parameters in real time utilizing Real Time Critical Dimensioning (RTCD), or it is at least one sample parameter value associated with sample 101 You can also access a library of precomputed models to determine the value of. Generally, some form of CD engine may be used to evaluate the difference between an assigned CD parameter of a sample and a CD parameter associated with a measured sample. Exemplary methods and systems for calculating sample parameter values are described in US Pat. No. 7,826,071 issued November 2, 2010 to KLA-Tencor Corp., the entire contents of which are incorporated herein by reference. do.

다른 양태에서, 하나 이상의 SAXS 시스템은 프로세스 인터벌(process interval) 동안 웨이퍼의 다수의 상이한 영역을 측정하도록 구성된다. 몇몇 실시형태에서, 각각의 측정된 주목하는 파라미터와 연관되는 웨이퍼 균일성 값은, 웨이퍼에 걸친 각각의 주목하는 파라미터의 측정된 값에 기초하여 결정된다.In another aspect, one or more SAXS systems are configured to measure a number of different areas of the wafer during a process interval. In some embodiments, the wafer uniformity value associated with each measured parameter of interest is determined based on the measured value of each parameter of interest across the wafer.

몇몇 실시형태에서, 다수의 계측 시스템이 프로세스 툴과 통합되고 계측 시스템은 프로세스 동안 웨이퍼에 걸쳐 상이한 영역을 동시에 측정하도록 구성된다. 몇몇 실시형태에서, 프로세스 툴과 통합되는 단일의 계측 시스템은 프로세스 동안 웨이퍼의 다수의 상이한 영역을 순차적으로 측정하도록 구성된다.In some embodiments, multiple metrology systems are integrated with process tools and the metrology system is configured to simultaneously measure different areas across the wafer during the process. In some embodiments, a single metrology system integrated with process tools is configured to sequentially measure multiple different areas of the wafer during the process.

몇몇 실시형태에서, 본원에서 설명되는 바와 같은 반도체 디바이스의 SAXS 기반의 계측을 위한 방법 및 시스템은 메모리 구조체의 측정에 적용된다. 이들 실시형태는 주기적이고 평면인 구조체에 대한 임계 치수(CD), 필름 및 조성 계측을 가능하게 한다.In some embodiments, methods and systems for SAXS based metrology of semiconductor devices as described herein apply to measurement of memory structures. These embodiments enable critical dimension (CD), film and composition measurements for periodic and planar structures.

본원에서 설명되는 바와 같은 산란측정법 측정은, 다양한 반도체 구조체의 특성을 결정하기 위해 사용될 수도 있다. 예시적인 구조체는, FinFET, 나노와이어 또는 그래핀(graphene)과 같은 저차원 구조체(low-dimensional structure), 10 nm 미만의 구조체, 리소그래피 구조체, 기판 관통 비아(through substrate via; TSV), 메모리 구조체 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트비 메모리 구조체를 포함하지만, 그러나 이들로 제한되지는 않는다. 예시적인 구조적 특성은, 기하학적 형상 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이, 및 재료 파라미터 예컨대 전자 밀도, 조성, 입자 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 신원(elemental identification)을 포함하지만, 그러나 이들로 제한되지는 않는다. 몇몇 실시형태에서, 계측 타겟은 주기적 구조체이다. 몇몇 다른 실시형태에서, 계측 타겟은 비주기적이다.Scatterometry measurements, as described herein, may be used to determine properties of various semiconductor structures. Exemplary structures include low-dimensional structures such as FinFET, nanowire or graphene, structures less than 10 nm, lithographic structures, through substrate via (TSV), memory structures such as DRAM, DRAM 4F2, FLASH, MRAM, and high aspect ratio memory structures. Exemplary structural properties include geometric shape parameters such as line edge roughness, line width roughness, pore size, pore density, sidewall angle, profile, critical dimension, pitch, thickness, overlay, and material parameters such as electron density, composition, particle structure ( grain structure, morphology, stress, strain, and elemental identification, but are not limited to these. In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology target is aperiodic.

몇몇 예에서, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND) 또는 수직 NAND 메모리(vertical NAND memory)(V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하는 그러나 이들로 제한되지는 않는 고 애스펙트비 반도체 구조체의 임계 치수, 두께, 오버레이, 및 재료 속성의 측정은, 본원에서 설명되는 바와 같은 T-SAXS 측정 시스템을 사용하여 수행된다.In some examples, spin transfer torque random access memory (STT-RAM), three dimensional NAND memory (3D-NAND) or vertical NAND memory (V-NAND) , Dynamic random access memory (DRAM), three dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (DRAM) Measurement of critical dimensions, thickness, overlay, and material properties of high aspect ratio semiconductor structures, including but not limited to phase change random access memory (PC-RAM), is a T-SAXS measurement as described herein. This is done using the system.

몇몇 예에서, 측정 모델은, 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor Corporation으로부터 입수 가능한 SpectraShape® 임계 치수 계측 시스템의 엘리먼트로서 구현된다. 이 방식에서, 모델은, 산란 이미지가 시스템에 의해 수집된 직후에 생성되어 사용 준비가 이루어진다.In some examples, the measurement model is implemented as an element of the SpectraShape® critical dimensioning system available from KLA-Tencor Corporation of Milpitas, California, USA. In this way, a model is created and ready for use immediately after the scattering image is collected by the system.

몇몇 다른 예에서, 측정 모델은, 예를 들면, 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation으로부터 입수 가능한 AcuShape® 소프트웨어를 구현하는 컴퓨팅 시스템에 의해 오프라인에서 구현된다. 결과적으로 나타나는 모델은, 측정을 수행하는 계측 시스템에 의해 액세스가능한 AcuShape® 라이브러리의 엘리먼트로서 통합될 수도 있다.In some other examples, the measurement model is implemented offline by, for example, a computing system implementing AcuShape® software available from KLA-Tencor Corporation of Milpitas, California, USA. The resulting model may be integrated as an element of the AcuShape® library accessible by metrology systems that perform measurements.

도 13는 적어도 하나의 새로운 양태에서 계측 측정을 수행하는 방법(300)을 예시한다. 방법(300)은 본 발명의 도 1 및 도 12에서 예시되는 SAXS 계측 시스템과 같은 계측 시스템에 의한 구현에 적절하다. 하나의 양태에서, 방법(300)의 데이터 프로세싱 블록은, 컴퓨팅 시스템(130), 컴퓨팅 시스템(230), 또는 임의의 다른 범용 컴퓨팅 시스템의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 실행될 수도 있다는 것이 인식된다. 본원에서, 도 1 및 도 12에서 묘사되는 계측 시스템의 특정한 구조적 양태는 제한을 나타내지 않으며 예시적인 것으로서만 해석되어야 한다는 것이 인식된다.13 illustrates a method 300 for performing metrology measurements in at least one new aspect. Method 300 is suitable for implementation by a metrology system such as the SAXS metrology system illustrated in FIGS. 1 and 12 of the present invention. In one aspect, the data processing block of method 300 may be executed through pre-programmed algorithms executed by one or more processors of computing system 130, computing system 230, or any other general purpose computing system. It is recognized that there is. It is recognized herein that the specific structural aspects of the metrology system depicted in FIGS. 1 and 12 do not represent limitations and should be interpreted as illustrative only.

블록(301)에서, 일정 양의 x 선 조명 광이 측정 영역 내의 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체에 제공된다.In block 301, an amount of x-ray illumination light is provided to one or more structures disposed on the semiconductor wafer in the measurement region.

블록(302)에서, 반도체 웨이퍼로부터 반사되는 또는 반도체 웨이퍼를 통해 투과되는 x 선 광의 양은 x 선 조명 광의 양에 응답하여 검출된다.In block 302, the amount of x-ray light reflected from or transmitted through the semiconductor wafer is detected in response to the amount of x-ray illumination light.

블록(303)에서, 복수의 출력 신호가 생성된다. 출력 신호는 하나 이상의 구조체로부터의 측정된 산란 응답을 나타낸다.In block 303, a plurality of output signals are generated. The output signal represents the measured scattering response from one or more structures.

블록(304)에서, 하나 이상의 구조체가 복수의 하위 구조체로 분해되거나, 측정 영역이 복수의 하위 영역으로 분해되거나, 또는 둘 모두가 이루어진다.In block 304, one or more structures are decomposed into a plurality of sub-structures, a measurement region is decomposed into a plurality of sub-regions, or both.

블록(305)에서, 복수의 하위 구조체의 각각, 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델이 생성된다.In block 305, a structure model is generated that is associated with each of the plurality of sub-structures, each of the plurality of sub-structures, or both.

블록(306)에서, 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답이 독립적으로 생성된다.At block 306, a simulated scattering response associated with each of the structure models is generated independently.

블록(307)에서, 시뮬레이팅된 산란 응답은 결합된 시뮬레이팅된 산란 응답을 생성하기 위해 결합된다.At block 307, the simulated scattering response is combined to generate a combined simulated scattering response.

블록(308)에서, 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값은 결합된 시뮬레이팅된 산란 응답 및 측정된 산란 응답에 기초하여 결정된다.At block 308, the value of one or more of the parameter of interest associated with the one or more structures is determined based on the combined simulated scattering response and the measured scattering response.

또 다른 실시형태에서, 시스템(100)은 본원에서 설명되는 방법에 따라 수집되는 산란측정법 측정 데이터에 기초하여 반도체 구조체의 측정을 수행하기 위해 활용되는 하나 이상의 컴퓨팅 시스템(130)을 포함한다. 하나 이상의 컴퓨팅 시스템(130)은 하나 이상의 검출기, 능동 광학 엘리먼트, 프로세스 컨트롤러, 등등에 통신 가능하게 커플링될 수도 있다. 하나의 양태에서, 하나 이상의 컴퓨팅 시스템(130)은 웨이퍼(101)의 구조체의 산란측정법 측정과 연관되는 측정 데이터를 수신하도록 구성된다.In another embodiment, system 100 includes one or more computing systems 130 utilized to perform measurements of semiconductor structures based on scatterometry measurement data collected according to the methods described herein. One or more computing systems 130 may be communicatively coupled to one or more detectors, active optical elements, process controllers, and the like. In one aspect, one or more computing systems 130 are configured to receive measurement data associated with scatterometry measurements of the structure of wafer 101.

본 개시의 전체에 걸쳐 설명되는 하나 이상의 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시스템(100)의 상이한 서브시스템은, 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적절한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다.It should be appreciated that one or more steps described throughout this disclosure may be performed by a single computer system 130, or, alternatively, multiple computer systems 130. In addition, different subsystems of system 100 may include computer systems suitable for performing at least some of the steps described herein. Therefore, the above-mentioned description should not be interpreted as a limitation on the present invention, but merely as an example.

또한, 컴퓨터 시스템(130)은 기술분야에서 공지되어 있는 임의의 방식으로 분광계(spectrometer)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 산란계와 연관되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 산란계는 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.Further, the computer system 130 may be communicatively coupled to the spectrometer in any manner known in the art. For example, one or more computing systems 130 may be coupled to computing systems associated with a scattering system. In another example, the scatterometer may be controlled directly by a single computer system coupled to computer system 130.

시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템(예를 들면, 산란계, 및 등등)의 서브시스템으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는, 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 역할을 할 수도 있다.The computer system 130 of the system 100 receives and / or receives data or information from a subsystem of the system (eg, scatterometer, and the like) by a transmission medium that may include a wired portion and / or a wireless portion. It may be configured to obtain. In this way, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100.

시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 다른 외부 시스템) 사이의 데이터 링크로서 역할을 할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132) 또는 외부 메모리)로부터 측정 데이터를 수신하도록 구성될 수도 있다. 예를 들면, 본원에서 설명되는 산란계를 사용하여 획득되는 산란된 이미지는 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132) 또는 외부 메모리)에 저장될 수도 있다. 이와 관련하여, 산란측정법 이미지는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(import)(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 추정된 파라미터 값 또는 측정 모델은 전달되어 외부 메모리에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(export)(내보내기)될 수도 있다.The computer system 130 of the system 100 receives data or information (eg, measurement results, modeling inputs, modeling results, etc.) from other systems by a transmission medium that may include a wired part and / or a wireless nothing. It may be configured to receive and / or acquire. In this manner, the transmission medium may serve as a data link between the computer system 130 and another system (eg, memory onboard metrology system 100, external memory, or other external system). For example, computing system 130 may be configured to receive measurement data from a storage medium (ie, memory 132 or external memory) via a data link. For example, the scattered image obtained using a scatterometer described herein may be stored in a permanent or semi-permanent memory device (eg, memory 132 or external memory). In this regard, scatterometry images may be imported (imported) from on-board memory or from an external memory system. Also, the computer system 130 may transmit data to another system through a transmission medium. For example, an estimated parameter value or measurement model determined by computer system 130 may be transferred and stored in external memory. In this regard, measurement results may be exported (exported) to other systems.

컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.Computing system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term “computing system” may be broadly defined to encompass any device having one or more processors executing instructions from a memory medium.

본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 1에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어(134)는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능한 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능한 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다. 엘리먼트(231-234)를 포함하는 컴퓨팅 시스템(230)은, 본원에서 설명되는 바와 같이, 엘리먼트(131-134)를 포함하는 컴퓨팅 시스템(130)과 각각 유사하다.Program instructions 134 implementing methods such as the methods described herein may be transmitted over a transmission medium, such as a wire, cable, or wireless transmission link. For example, as illustrated in FIG. 1, program instructions 134 stored in memory 132 are transmitted to processor 131 via bus 133. The program instructions 134 are stored on a computer-readable medium (eg, memory 132). Exemplary computer readable media include read only memory, random access memory, magnetic or optical disks, or magnetic tape. Computing systems 230 comprising elements 231-234 are similar to computing systems 130 including elements 131-134, respectively, as described herein.

본원에서 설명되는 바와 같이, 용어 "임계 치수"는, 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 오버레이 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 삼차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.As described herein, the term “critical dimension” means any critical dimension of a structure (eg, lower critical dimension, intermediate critical dimension, upper critical dimension, sidewall angle, lattice height, etc.), any two or more Critical dimensions between structures (eg, distance between two structures), and displacement between two or more structures (eg, overlay displacement between overlay grid structures, etc.). Structures may include three-dimensional structures, patterned structures, overlay structures, and the like.

본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.As described herein, the term “critical dimension application” or “critical dimension measurement application” includes any critical dimension measurement.

본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 계측, 오버레이 계측, 초점/조사량 계측, 및 조성 계측과 같은 측정 애플리케이션을 비롯한, 임의의 양태에서 시료의 특성을 적어도 부분적으로 묘사하는 데 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 그러한 용어는 본원에서 설명되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시적으로 수반함), 및 임계 치수 데이터에 기초한 시스템 파라미터의 캘리브레이션으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.As described herein, the term “measurement system” is used to at least partially describe the properties of a sample in any aspect, including measurement applications such as critical dimension measurement, overlay measurement, focus / dose measurement, and composition measurement. Any system utilized. However, such terms in the technical field do not limit the scope of the term “measurement system” as described herein. In addition, the metrology system may be configured for measurement of patterned and / or unpatterned wafers. The metrology system can be configured from LED inspection tools, edge inspection tools, backside inspection tools, macro inspection tools, or multi-mode inspection tools (concurrently involving data from one or more platforms), and calibration of system parameters based on critical dimension data. It can also be configured as any other metrology or inspection tool that benefits.

임의의 반도체 프로세싱 툴(예를 들면, 검사 시스템 또는 리소그래피 시스템) 내에서 시료를 측정하기 위해 사용될 수도 있는 반도체 측정 시스템에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.Various embodiments are described herein for a semiconductor measurement system that may be used to measure a sample in any semiconductor processing tool (eg, inspection system or lithography system). The term “sample” is used herein to refer to a wafer, reticle, or any other sample that may be processed (eg, inspected or printed for defects) by means known in the art. Is used.

본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 그러나 이들로 제한되지는 않는다. 그러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복 가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.As used herein, the term “wafer” generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and / or processed in semiconductor manufacturing facilities. In some cases, a wafer may include only a substrate (ie, a bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be "patterned" or "not patterned". For example, a wafer may include multiple dies with repeatable pattern features.

"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 발매될(released) 수도 있는 또는 발매되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다."Reticle" may be a reticle at any stage of the reticle manufacturing process, or it may be a completed reticle that may or may not be released for use in a semiconductor manufacturing facility. A reticle, or "mask," is generally defined as a substantially transparent substrate in which a substantially opaque region is formed and composed of a pattern. The substrate may include, for example, a glass material such as amorphous SiO 2 . The reticle may be placed over a wafer coated with resist during the exposure step of the lithography process, such that the pattern on the reticle may be transferred to the resist.

웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 재료의 그러한 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.One or more layers formed on the wafer may or may not be patterned. For example, a wafer may include a plurality of dies each having repeatable pattern features. The formation and processing of such a layer of material may ultimately result in a finished device. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass wafers on which any type of device known in the art is fabricated.

하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능한 매체 상에 저장될 수도 있거나 또는 컴퓨터 판독 가능한 매체를 통해 송신될 수도 있다. 컴퓨터 판독 가능한 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 제한이 아닌 예로서, 그러한 컴퓨터 판독 가능한 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망되는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 사용될 수 있으며 범용 컴퓨터 또는 특수 목적용 컴퓨터, 또는 범용 프로세서 또는 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능한 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 콤팩트 디스크(compact disc; CD), 레이저 디스크, 광학 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 일반적으로 자기적으로 데이터를 재생하고, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독 가능한 매체의 범위 내에 또한 포함되어야 한다.In one or more exemplary embodiments, the functionality described may be implemented in hardware, software, firmware, or any combination thereof. When implemented in software, the functionality may be stored on a computer readable medium as one or more instructions or codes, or may be transmitted over a computer readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. The storage medium may be any available medium that can be accessed by a general purpose computer or special purpose computer. By way of example, and not limitation, such computer-readable media can be used as RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage device, or program code means desired in the form of instructions or data structures. It can be used for carrying or storing and can include a general purpose computer or special purpose computer, or any other medium that can be accessed by a general purpose processor or special purpose processor. Also, any connection is properly termed a computer-readable medium. For example, the software may use a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technology such as infrared, wireless, and microwave for a website, server, or other When transmitted from a remote source, coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, wireless, and microwave are included in the definition of the medium. Disks and discs, as used herein, include compact discs (CDs), laser discs, optical discs, digital versatile discs (DVDs), floppy discs, and Blu-ray discs. In this case, the disk (disk) generally reproduces data magnetically, and the disk (disc) optically reproduces data using a laser. Combinations of the above should also be included within the scope of computer readable media.

임의의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 청구범위에서 기술되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.Although any particular embodiment has been described above for teaching purposes, the teaching of this patent document has general applicability and is not limited to the specific embodiments described above. Thus, various modifications, adaptations, and combinations of various features of the described embodiments can be practiced without departing from the scope of the invention as described in the claims.

Claims (20)

x 선 산란측정법 기반의 계측 시스템(x-ray scatterometry based metrology system)으로서,
측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체(structure)로 지향되는 일정 양의 x 선 조명 광을 제공하도록 구성되는 엑스선 조명 소스;
x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하도록 그리고 상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하도록 구성되는 검출기; 및
컴퓨팅 시스템을 포함하며,
상기 컴퓨팅 시스템은:
상기 하나 이상의 구조체를 복수의 하위 구조체(sub-structure)로 분해하거나, 상기 측정 영역을 복수의 하위 영역(sub-area)으로 분해하거나, 또는 둘 모두를 행하도록;
상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델(structural model)을 생성하도록;
상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답(simulated scattering response)을 독립적으로 생성하도록;
상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하도록; 그리고
상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터(parameters of interest)의 값을 결정하도록
구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
As an x-ray scatterometry based metrology system,
An X-ray illumination source configured to provide an amount of x-ray illumination light directed to one or more structures disposed on the semiconductor wafer within the measurement region;
configured to detect the amount of x-ray light reflected from or transmitted through the semiconductor wafer in response to the amount of x-ray illumination light and to generate a plurality of output signals representing measured scattering responses from the one or more structures Detector; And
Includes a computing system,
The computing system is:
To decompose the one or more structures into a plurality of sub-structures, to decompose the measurement region into a plurality of sub-areas, or to do both;
To generate a structural model associated with each of the plurality of sub-structures, each of the plurality of sub-regions, or both;
To independently generate a simulated scattering response associated with each of the structure models;
Combine each of the simulated scattering responses to produce a combined simulated scattering response; And
Based on the combined simulated scattering response and the measured scattering response to determine a value of one or more parameters of interest associated with the one or more structures
Constructed, x-ray scattering based measurement system.
제1항에 있어서,
상기 복수의 하위 구조체는 동일한 주기성의 상이한 주기적 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The plurality of sub-structures, which will include different periodic shapes of the same periodicity, x-ray scatterometry-based measurement system.
제1항에 있어서,
상기 복수의 하위 구조체는 상이한 주기성을 갖는 상이한 주기적 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The plurality of sub-structures, which comprises different periodic shapes with different periodicity, x-ray scatterometry-based measurement system.
제1항에 있어서,
상기 복수의 하위 구조체는 거의 주기적 방식으로 복수 회 반복되는 형상을 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The plurality of sub-structures, which includes a shape that is repeated a plurality of times in an almost periodic manner, an x-ray scattering measurement based measurement system.
제1항에 있어서,
상기 복수의 하위 구조체는 상대적으로 작은 주기를 갖는 제1 구조체 및 상기 작은 주기의 정수배인 상대적으로 큰 주기를 갖는 제2 구조체를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The plurality of sub-structures include a first structure having a relatively small period and a second structure having a relatively large period that is an integer multiple of the small period, an x-ray scatterometry-based measurement system.
제1항에 있어서,
상기 복수의 하위 영역의 각각은, 단일의 구조체 또는 상기 단일의 구조체의 복수의 하위 구조체로의 분해와 연관되는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
Each of the plurality of sub-regions is associated with decomposition into a single structure or a plurality of sub-structures of the single structure, an x-ray scatterometry-based metrology system.
제1항에 있어서,
상기 검출기에서의 상기 결합된 시뮬레이팅된 산란 응답의 강도에 대한 상기 복수의 하위 영역의 각각의 기여(contribution)는, 각각의 하위 영역의 면적에 비례하여 증감되는(scale), x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
Each contribution of the plurality of sub-regions to the intensity of the combined simulated scattering response at the detector is scaled in proportion to the area of each sub-region, based on x-ray scatterometry. Instrumentation system.
제1항에 있어서,
상기 구조체 모델의 각각과 연관되는 상기 시뮬레이팅된 산란 응답의 생성은, 전자기 모델링 솔버(solver)를 사용하여 각각의 구조체 모델과 연관되는 산란 필드(scattered field)의 계산을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The generation of the simulated scattering response associated with each of the structure models involves the calculation of scattered fields associated with each structure model using an electromagnetic modeling solver. Scattering-based measurement system.
제8항에 있어서,
상기 구조체 모델의 각각과 연관되는 상기 시뮬레이팅된 산란 응답의 생성은, 상기 검출기에서 각각의 구조체 모델과 연관되는 상기 시뮬레이팅된 산란 응답에 도달하도록 시스템 모델을 통해 상기 산란 필드를 전파하는 것을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
The method of claim 8,
Generation of the simulated scattering response associated with each of the structure models involves propagating the scattering field through a system model to reach the simulated scattering response associated with each structure model at the detector. , X-ray scattering based measurement system.
제1항에 있어서,
상기 결합된 시뮬레이팅된 산란 응답의 생성은, 상기 시뮬레이팅된 산란 응답의 각각을 가간섭적으로(coherently), 비가간섭적으로(incoherently), 또는 이들의 조합으로 결합하는 것을 수반하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The generation of the combined simulated scattering response involves combining each of the simulated scattering responses coherently, incoherently, or a combination thereof, Measurement system based on x-ray scatterometry.
제1항에 있어서,
상기 컴퓨팅 시스템은 또한:
제조 툴로 하여금 상기 제조 툴의 하나 이상의 프로세스 제어 파라미터의 값을 조정하게 하는 상기 하나 이상의 주목하는 파라미터의 값의 표시를 상기 제조 툴로 전달하도록 구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The computing system also:
An x-ray scatterometry based metrology system configured to deliver an indication of the value of the one or more noted parameters to the manufacturing tool that causes a manufacturing tool to adjust the value of one or more process control parameters of the manufacturing tool.
제1항에 있어서,
상기 양의 x 선 조명 광은 복수의 입사각, 방위각, 또는 둘 모두에서 측정 스팟으로 지향되는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The positive x-ray illumination light is directed to a measurement spot at a plurality of incidence angles, azimuth angles, or both, an x-ray scatterometry-based measurement system.
제1항에 있어서,
상기 x 선 조명 소스는 또한, 복수의 상이한 에너지 레벨에서 측정 스팟으로 지향되는 상기 양의 x 선 조명 광을 제공하도록 구성되는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The x-ray illumination source is also configured to provide the amount of x-ray illumination light directed to a measurement spot at a plurality of different energy levels, an x-ray scatterometry based metrology system.
제1항에 있어서,
상기 하나 이상의 주목하는 파라미터의 값을 결정하는 것은, 모델 기반의 측정 모델, 트레이닝된 신호 응답 계측(signal response metrology; SRM) 측정 모델, 또는 단층 촬영 측정 모델(tomographic measurement model)에 기초하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
Determining the value of the one or more noted parameters is based on a model-based measurement model, a trained signal response metrology (SRM) measurement model, or a tomographic measurement model, Measurement system based on x-ray scatterometry.
제1항에 있어서,
상기 하나 이상의 구조체는 3 차원 NAND 구조체 또는 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM) 구조체를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The at least one structure comprises a three-dimensional NAND structure or a dynamic random access memory (DRAM) structure, an x-ray scatterometry-based measurement system.
제1항에 있어서,
상기 하나 이상의 구조체는 적어도 하나의 주목하는 구조체 및 적어도 하나의 부수적(incidental) 구조체를 포함하고, 상기 결합된 시뮬레이팅된 산란 응답은 상기 적어도 하나의 주목하는 구조체 및 상기 적어도 하나의 부수적 구조체로부터의 모델링된 기여를 포함하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The one or more structures include at least one construct of interest and at least one incidental structure, and the combined simulated scattering response is modeled from the at least one construct of interest and the at least one collateral structure An x-ray scatterometry based metrology system, which includes the contributions made.
제1항에 있어서,
상기 하나 이상의 구조체는 적어도 하나의 주목하는 구조체 및 적어도 하나의 부수적 구조체를 포함하고, 상기 컴퓨팅 시스템은 또한:
상기 적어도 하나의 부수적 구조체로부터의 기여를 감소시키기 위해 상기 측정된 산란 응답을 필터링하도록 구성되되, 상기 적어도 하나의 주목하는 구조체와 연관되는 상기 하나 이상의 주목하는 파라미터의 값의 결정은, 상기 필터링된 측정된 산란 응답에 기초하는 것인, x 선 산란측정법 기반의 계측 시스템.
According to claim 1,
The one or more structures include at least one noted structure and at least one ancillary structure, and the computing system also includes:
Configured to filter the measured scattering response to reduce contribution from the at least one ancillary structure, wherein the determination of the value of the one or more notable parameters associated with the at least one notable structure is the filtered measurement. An x-ray scatterometry based metrology system based on the scattered response.
x 선 산란측정법 기반의 계측 시스템으로서,
측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체로 지향되는 일정 양의 x 선 조명 광을 제공하도록 구성되는 x 선 조명 소스;
x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하도록 그리고 상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하도록 구성되는 검출기; 및
명령어를 포함하는 비일시적 컴퓨터 판독 가능한 매체를 포함하되, 상기 명령어는, 하나 이상의 프로세서에 의한 실행시, 상기 하나 이상의 프로세서로 하여금:
상기 하나 이상의 구조체를 복수의 하위 구조체로 분해하거나, 상기 측정 영역을 복수의 하위 영역으로 분해하거나, 또는 둘 모두를 행하게 하고;
상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델을 생성하게 하고;
상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답을 독립적으로 생성하게 하고;
상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하게 하고; 그리고
상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값을 결정하게 하는, x 선 산란측정법 기반의 계측 시스템.
As a measurement system based on x-ray scattering method,
An x-ray illumination source configured to provide a certain amount of x-ray illumination light directed to one or more structures disposed on the semiconductor wafer within the measurement area;
configured to detect the amount of x-ray light reflected from or transmitted through the semiconductor wafer in response to the amount of x-ray illumination light and to generate a plurality of output signals representing measured scattering responses from the one or more structures Detector; And
A non-transitory computer-readable medium comprising instructions, which instructions, when executed by one or more processors, cause the one or more processors to:
Decomposing the one or more structures into a plurality of sub-structures, decomposing the measurement region into a plurality of sub-regions, or both;
Generate a structure model associated with each of the plurality of sub-structures, each or both of the plurality of sub-structures;
Independently generate a simulated scattering response associated with each of the structure models;
Combining each of the simulated scattering responses to produce a combined simulated scattering response; And
An x-ray scatterometry-based metrology system that allows determining the value of one or more parameters of interest associated with the one or more structures based on the combined simulated scattering response and the measured scattering response.
방법으로서,
측정 영역 내에서 반도체 웨이퍼 상에 배치되는 하나 이상의 구조체로 지향되는 일정 양의 x 선 조명 광을 제공하는 단계;
x 선 조명 광의 양에 응답하여 상기 반도체 웨이퍼로부터 반사되는 또는 상기 반도체 웨이퍼를 통해 투과되는 x 선 광의 양을 검출하는 단계;
상기 하나 이상의 구조체로부터의 측정된 산란 응답을 나타내는 복수의 출력 신호를 생성하는 단계;
상기 하나 이상의 구조체를 복수의 하위 구조체로 분해하는 것, 상기 측정 영역을 복수의 하위 영역으로 분해하는 것, 또는 둘 모두를 행하는 단계;
상기 복수의 하위 구조체의 각각, 상기 복수의 하위 영역의 각각, 또는 둘 모두와 연관되는 구조체 모델을 생성하는 단계;
상기 구조체 모델의 각각과 연관되는 시뮬레이팅된 산란 응답을 독립적으로 생성하는 단계;
상기 시뮬레이팅된 산란 응답의 각각을 결합하여 결합된 시뮬레이팅된 산란 응답을 생성하는 단계; 및
상기 결합된 시뮬레이팅된 산란 응답 및 상기 측정된 산란 응답에 기초하여 상기 하나 이상의 구조체와 연관되는 하나 이상의 주목하는 파라미터의 값을 결정하는 단계를 포함하는, 방법.
As a method,
Providing a predetermined amount of x-ray illumination light directed to one or more structures disposed on the semiconductor wafer within the measurement area;
detecting an amount of x-ray light reflected from or transmitted through the semiconductor wafer in response to the amount of x-ray illumination light;
Generating a plurality of output signals representing measured scattering responses from the one or more structures;
Decomposing the one or more structures into a plurality of sub-structures, decomposing the measurement region into a plurality of sub-structures, or both;
Generating a structure model associated with each of the plurality of sub-structures, each of the plurality of sub-regions, or both;
Independently generating a simulated scattering response associated with each of the structure models;
Combining each of the simulated scattering responses to generate a combined simulated scattering response; And
And determining a value of one or more noted parameters associated with the one or more structures based on the combined simulated scattering response and the measured scattering response.
제19항에 있어서,
제조 툴로 하여금 상기 제조 툴의 하나 이상의 프로세스 제어 파라미터의 값을 조정하게 하는 상기 하나 이상의 주목하는 파라미터의 값의 표시를 상기 제조 툴로 전달하는 단계를 더 포함하는, 방법.
The method of claim 19,
And passing an indication of the value of the one or more noted parameters to the manufacturing tool that causes a manufacturing tool to adjust the value of one or more process control parameters of the manufacturing tool.
KR1020207007533A 2017-08-14 2018-08-14 On-device instrumentation using target decomposition KR102381154B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762544911P 2017-08-14 2017-08-14
US62/544,911 2017-08-14
US16/101,521 US10983227B2 (en) 2017-08-14 2018-08-13 On-device metrology using target decomposition
US16/101,521 2018-08-13
PCT/US2018/046771 WO2019036512A1 (en) 2017-08-14 2018-08-14 On-device metrology using target decomposition

Publications (2)

Publication Number Publication Date
KR20200032249A true KR20200032249A (en) 2020-03-25
KR102381154B1 KR102381154B1 (en) 2022-03-30

Family

ID=65275036

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207007533A KR102381154B1 (en) 2017-08-14 2018-08-14 On-device instrumentation using target decomposition

Country Status (7)

Country Link
US (1) US10983227B2 (en)
JP (1) JP7033192B2 (en)
KR (1) KR102381154B1 (en)
CN (1) CN111052329B (en)
IL (1) IL272162B2 (en)
TW (1) TWI769292B (en)
WO (1) WO2019036512A1 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11703464B2 (en) * 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
CN110673194B (en) * 2019-10-28 2021-04-20 上海联影医疗科技股份有限公司 Compton scattering sequence recovery method, apparatus, device and medium
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
JP7221536B2 (en) * 2019-12-27 2023-02-14 株式会社リガク Scattering measurement analysis method, scattering measurement analysis apparatus, and scattering measurement analysis program
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
CN115605811A (en) * 2020-05-14 2023-01-13 Asml荷兰有限公司(Nl) Method for predicting random contributors
JP7458935B2 (en) 2020-08-26 2024-04-01 キオクシア株式会社 Measuring device and measuring method
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model
EP4339602A1 (en) * 2022-09-14 2024-03-20 Xenocs SAS Method of performing machine learning of a small angle x-ray scattering measurement data analysis model

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20170061604A1 (en) * 2015-08-31 2017-03-02 Kla-Tencor Corporation Model-Based Metrology Using Images
US20170167862A1 (en) * 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (en) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ PATTERN LAYER DATA GENERATION DEVICE, PATTERN LAYER DATA GENERATION SYSTEM USING THE SAME, SEMICONDUCTOR PATTERN DISPLAY DEVICE, PATTERN LAYER DATA GENERATION METHOD, AND COMPUTER PROGRAM
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (en) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ Sample size measuring method and sample size measuring device
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7713145B2 (en) * 2008-01-10 2010-05-11 Acushnet Company Multi-layer core golf ball
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US7886951B2 (en) 2008-11-24 2011-02-15 Tyco Healthcare Group Lp Pouch used to deliver medication when ruptured
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
GB2481950B (en) * 2009-04-14 2017-08-23 Rigaku Denki Co Ltd Surface microstructure measurement method, surface microstructure measurement data analysis method and surface microstructure measurement system.
JP5764380B2 (en) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company SEM imaging method
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9311431B2 (en) 2011-11-03 2016-04-12 Kla-Tencor Corporation Secondary target design for optical measurements
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10269528B2 (en) * 2013-09-19 2019-04-23 Sigray, Inc. Diverging X-ray sources using linear accumulation
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
KR101901770B1 (en) 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016176502A1 (en) 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally efficient x-ray based overlay measurement
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150051877A1 (en) * 2013-08-19 2015-02-19 Kla-Tencor Corporation Metrology Tool With Combined XRF And SAXS Capabilities
US20170061604A1 (en) * 2015-08-31 2017-03-02 Kla-Tencor Corporation Model-Based Metrology Using Images
US20170167862A1 (en) * 2015-12-11 2017-06-15 Kla-Tencor Corporation X-Ray Scatterometry Metrology For High Aspect Ratio Structures

Also Published As

Publication number Publication date
IL272162B2 (en) 2023-02-01
JP7033192B2 (en) 2022-03-09
WO2019036512A1 (en) 2019-02-21
US20190049602A1 (en) 2019-02-14
TWI769292B (en) 2022-07-01
TW201925766A (en) 2019-07-01
CN111052329A (en) 2020-04-21
US10983227B2 (en) 2021-04-20
JP2020530942A (en) 2020-10-29
IL272162A (en) 2020-03-31
KR102381154B1 (en) 2022-03-30
CN111052329B (en) 2023-08-25
IL272162B (en) 2022-10-01

Similar Documents

Publication Publication Date Title
KR102381154B1 (en) On-device instrumentation using target decomposition
US11955391B2 (en) Process monitoring of deep structures with X-ray scatterometry
KR102625635B1 (en) Full beam metrology for x-ray scatterometry systems
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
KR102184603B1 (en) Beam shaping slits for small spot sizes in transmission, small angle X-ray scatterometry
KR102512180B1 (en) Computationally efficient X-ray based overlay measurements
KR20190131129A (en) Transmission incineration X-ray scattering measurement system
CN114981686A (en) Overlay measurement method and system based on soft X-ray scattering measurement
CN107533020B (en) Computationally efficient X-ray based overlay measurement system and method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant