TWI769292B - 基於x射線散射測量術之計量系統及量測半導體結構之方法 - Google Patents

基於x射線散射測量術之計量系統及量測半導體結構之方法 Download PDF

Info

Publication number
TWI769292B
TWI769292B TW107128302A TW107128302A TWI769292B TW I769292 B TWI769292 B TW I769292B TW 107128302 A TW107128302 A TW 107128302A TW 107128302 A TW107128302 A TW 107128302A TW I769292 B TWI769292 B TW I769292B
Authority
TW
Taiwan
Prior art keywords
structures
measurement
ray
model
metrology system
Prior art date
Application number
TW107128302A
Other languages
English (en)
Other versions
TW201925766A (zh
Inventor
約翰 漢琪
安東尼歐 艾里昂 吉里紐
亞歷山大 庫茲尼斯夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201925766A publication Critical patent/TW201925766A/zh
Application granted granted Critical
Publication of TWI769292B publication Critical patent/TWI769292B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/02Dosimeters
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/29Measurement performed on radiation beams, e.g. position or section of the beam; Measurement of spatial distribution of radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4735Solid samples, e.g. paper, glass

Landscapes

  • Life Sciences & Earth Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Molecular Biology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Investigating Or Analysing Materials By The Use Of Chemical Reactions (AREA)

Abstract

本文中呈現用於裝置上結構之較高效X射線散射測量術量測之方法及系統。對一量測區上方之一或多個結構之X射線散射測量術量測包含將該一或多個結構分解成複數個子結構、將該量測區分解成複數個子區或兩者。獨立地模擬該等經分解結構、量測區或兩者。組合該等獨立模擬之經分解結構中之每一者之散射貢獻以模擬在該量測區內之該等所量測結構之實際散射。在一額外態樣中,採用包含一或多個附帶結構之經量測強度及經模型化強度來執行所關注結構之量測。在其他額外態樣中,採用量測分解來訓練一量測模型且最佳化一特定量測應用之一量測配方。

Description

基於x射線散射測量術之計量系統及量測半導體結構之方法
所闡述實施例係關於計量系統及方法,且更特定而言,係關於用於半導體結構之經改良量測之方法及系統。
通常藉由適用於一樣品之一處理步驟序列製作諸如邏輯及記憶體裝置之半導體裝置。藉由此等處理步驟形成該等半導體裝置之各種特徵及多個結構層級。舉例而言,尤其微影係涉及在一半導體晶圓上產生一圖案之一個半導體製作程序。半導體製作程序之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。可在一單個半導體晶圓上製作多個半導體裝置,且然後將其分離成個別半導體裝置。
在一半導體製造程序期間在各個步驟處使用計量程序來偵測晶圓上之缺陷以促成較高良率。通常使用若干種基於計量之技術(包含散射測量術及反射測量術實施方案)及相關聯分析演算法來表徵臨界尺寸、膜厚度、組合物及奈米尺度結構之其他參數。X射線散射測量術技術在不具有樣本破壞之風險之情況下提供高吞吐量之可能性。
在傳統上,對由薄膜及/或重複週期性結構組成之目標執行光學散射測量術臨界尺寸(SCR)量測。隨著裝置(例如,邏輯及記憶體裝置)朝較小奈米尺度尺寸進展,表徵變得更困難。併入有複雜三維幾何結 構及具有多樣物理性質之材料的裝置加劇表徵困難度。舉例而言,現代記憶體結構通常係使光學輻射難以穿透至底部層之高縱橫比三維結構。利用紅外光至可見光之光學計量工具可穿透諸多半透明材料層,但提供良好穿透深度之較長波長不提供對小異常現象之充足敏感度。另外,表徵複雜結構(例如,FinFET)所需之參數數目增加導致參數相關性增加。因此,通常無法可靠地將表徵目標之參數與可用量測解耦。
在一項實例中,已採用較長波長(例如,近紅外線)以嘗試克服利用多晶矽作為堆疊中之交替材料中之一者之3D快閃裝置之穿透問題。然而,3D快閃之鏡樣結構本質上導致光強度隨著照射傳播至膜堆疊中更深處而減小。此導致在深處之敏感度損失及相關性問題。在此情景中,光學SCD僅能夠以高敏感度及低相關性成功地提取一精簡計量尺寸集合。
在另一實例中,在現代半導體結構中愈來愈多地採用不透明高k材料。光學輻射通常不能穿透由此等材料構造之層。因此,藉助薄膜散射測量術工具(諸如光學橢圓偏光計或反射計)進行之量測變得愈來愈有挑戰性。
回應於此等挑戰,已開發更複雜光學計量工具。舉例而言,已開發具有多個照射角度、較短照射波長、較寬照射波長範圍及自經反射信號之更完整資訊獲取(例如,除較習用反射率或橢圓偏光信號之外亦量測多個米勒(Mueller)矩陣元素)之工具。然而,此等方法尚未可靠地克服與諸多進階目標(例如,複雜3D結構、小於10nm之結構、採用不透明材料之結構)之量測及量測應用(例如,線邊緣粗糙度及線寬度粗糙度量測)相關聯之基本挑戰。
光學方法可提供對程序步驟之間的程序變數之非破壞性追蹤,但需要由破壞性方法進行之定期校準面對程序漂移而維持準確性。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中間解析度位準,但不能穿透結構至充足深度。因此,未很好地表徵高縱橫比孔。另外,樣品之所需充電對成像效能具有一不利影響。
為克服穿透深度問題,與諸如聚焦離子束(FIB)加工、離子銑削、毯覆式或選擇性蝕刻等破壞性樣本製備技術一起採用諸如TEM、SEM等傳統成像技術。舉例而言,穿透式電子顯微鏡(TEM)達成高解析度位準且能夠探測任意深度,但TEM需要樣品之破壞性剖切。材料移除及量測之數個反覆一般提供遍及一個三維結構量測關鍵計量參數所需要之資訊。但是,此等技術需要樣本破壞及冗長程序時間。完成此等類型之量測之複雜性及時間引入由蝕刻及計量步驟之漂移導致之大的不準確性,此乃因量測結果在已在受量測之晶圓上完成程序很久之後變得可用。因此,量測結果具有因進一步處理及延遲反饋產生之偏差。另外,此等技術需要眾多反覆,該等眾多反覆引入配準誤差。總之,SEM及TEM技術所需要之長時間且破壞性樣本製備對裝置良率產生消極影響。
執行裝置上結構或類裝置結構(例如,在一半導體晶圓之作用區中製作之實際功能結構或代理結構)而非在該半導體晶圓之切割道中製作之經簡化一次性結構之量測係受特定關注的。量測裝置上結構會消除或顯著地減少一所量測結構與實際裝置結構之間的偏差,因此增加與良率之計量相關性。裝置上計量減小較大專業計量目標所需要之面積,從而可 能增加可用於功能裝置之晶圓面積。然而,量測程序不可損壞裝置上結構(例如,位於晶圓之作用區中之彼等裝置上結構)。不可簡化或移除該等結構以用於量測。
小角度X射線散射測量術(SAXS)系統有希望解決具挑戰性量測應用。SAXS系統能夠在一相對大量測區內進行非破壞性高解析度量測。然而,裝置上結構顯著地比簡化計量結構複雜,且此複雜性對SAXS量測提出重大模型化挑戰。
通常量測受量測之結構之最大共同單位單元且將該最大共同單位單元模型化。使用此方法,將週期性之整個延伸範圍模型化以對X射線散射進行運算。對於複雜化週期性幾何模型,用數學方法闡述表徵結構之大量形狀。對於當前記憶體應用,最大共同單位單元可具有大約數十微米之尺寸,而所需要之量測解析度係大約一埃。因此,在實務上,將最大共同單位單元模型化係極其複雜的、計算上昂貴的且易於出現錯誤。用以對X射線散射進行運算之一大複雜化模型係計算上昂貴的,此乃因大週期性需要對來自諸多幾何特徵之諸多散射級進行運算以準確地估計實際散射。
總之,特徵大小之持續減小及諸多半導體結構之深度增加對計量系統強加困難要求。儘管SAXS量測系統有希望解決具挑戰性量測應用,但量測模型複雜性限制以一具成本效益且及時方式量測複雜週期性結構之所關注參數。因此,期望經改良SAXS計量系統及方法量測高縱橫比結構以維持高裝置良率。
本文中呈現用於裝置上結構之更高效X射線散射測量術量 測之方法及系統。裝置上結構或類裝置散射測量術目標必定係複雜的以避免量測與實際裝置結構之間的偏差。此外,由一計量系統在一給定瞬間或量測間隔量測之一半導體晶圓之區可包含一或多個裝置上結構或類裝置散射測量術目標。裝置上或類裝置散射測量術目標之X射線散射測量術量測更簡單地經闡述為子結構、量測子區或兩者之一經分解集合。
在一項態樣中,在一量測區內之一或多個結構之一X射線散射測量術量測包含將該一或多個結構分解成複數個子結構、將該量測區分解成複數個子區或兩者。獨立地模擬該等經分解結構、量測區或兩者。
在某些實施例中,將受量測之一複雜化週期性結構模型化為簡單週期性子結構之一總和。
在某些實施例中,將受量測之一複雜化週期性結構模型化為同一週期性之不同週期性形狀之一總和。
在某些實施例中,將受量測之一複雜化週期性結構模型化為具有不同週期性之不同週期性形狀之一總和。
在某些實施例中,將受量測之一複雜化結構模型化為以一幾乎週期性方式重複多次之一相對簡單形狀之一總和。
在某些實例中,將受量測之一複雜化結構模型化為具有一小週期之一簡單結構及具有係該小週期之一整數倍數之一大週期之另一結構擾動。
在某些實施例中,將該量測區細分成若干個不同子區,每一子區與一不同結構或子結構組合相關聯。
在另一態樣中,獨立地模擬與每一經分解量測元素相關聯之散射回應。
在再一態樣中,獨立模擬之經分解結構中之每一者之散射貢獻經組合以模擬該量測區內之該等所量測結構之實際散射。取決於(若干)下伏結構之照射是同調的、非同調的還是同調與非同調之某一組合而以不同方式組合與經分解量測結構之任一組合相關聯之散射場。
在一額外態樣中,採用包含一或多個附帶結構之經量測強度及經模型化強度來執行所關注結構之量測。在某些實例中,將裝置上量測分解成用於計量之一關鍵目標及一複雜附帶底層結構。
在某些實例中,自一關鍵結構收集包含被來自一附帶結構之量測信號污染之量測。另外,自在同一附帶底層上方製作之一簡單結構收集量測。採用如本文中所闡述之量測分解以自與該簡單結構相關聯之量測資料直接減去與該關鍵結構相關聯之量測資料以有效地取消與該附帶底層相關聯之量測信號。
在另一額外態樣中,一附帶模型直接對在偵測器處量測之散射資料進行操作且有效地對該所量測資料進行篩選以移除附帶結構對該所量測資料之影響。在某些實例中,該附帶模型係用以闡述已知與附帶結構相關聯之所量測資料中之所觀察到之現象之一啟發模型。在對該所量測資料進行篩選之後,採用所得經篩選量測資料作為如本文中所闡述之所關注參數之一基於模型之量測之一部分。
在另一額外態樣中,採用量測分解來訓練建立經量測散射強度與一或多個所關注參數之值之間的一函數關係的一輸入-輸出量測模型。
在另一額外態樣中,採用量測分解來最佳化一特定量測應用之一量測配方。一最佳化量測配方包含量測系統之物理參數之一選擇, 該選擇增強所關注信號且抑制來自附帶結構之信號。
前述內容係一發明內容且因此必然含有細節之簡化、概述及省略;因此,熟習此項技術者將瞭解,發明內容僅為說明性的且不以任何方式為限制性的。在本文中所陳述之非限制性實施方式中,本文中所闡述之裝置及/或程序之其他態樣、發明性特徵及優點將變得顯而易見。
100:計量系統/穿透式小角度x射線散射測量術計量工具/系統/計 量工具/計量系統/記憶體板上系統
101:晶圓/樣品/半導體晶圓
102:量測區/有限光點大小
110:x射線照射源/照射源
111:聚焦光學器件
112:射束髮散度控制狹縫
113:中間狹縫
114:x射線輻射/散射x射線
115:傳入射束/x射線照射射束
116:x射線照射射束/照射射束/法向入射照射/傾斜入射照射/傳出射束/照射x射線射束
117:射線
119:X射線偵測器/偵測器
120:射束整形狹縫機構/框架
122:旋轉致動器
126:射束整形狹縫/狹縫
127:射束整形狹縫/狹縫
128:射束整形狹縫/狹縫
129:射束整形狹縫/狹縫
130:運算系統/電腦系統/多電腦系統/單電腦系統
131:處理器/元件
132:記憶體/元件
133:匯流排/元件
134:程式指令/元件
135:輸出信號/信號/所量測信號
138:控制命令
139:經判定所關注參數
140:樣品定位系統
141:基底框架
142:橫向對準載台
143:載台參考框架
144:晶圓載台
145:致動器
146:旋轉致動器
147:晶圓載台致動器
148:晶圓載台致動器
150A:致動器/線性致動器
150B:致動器/線性致動器
150C:致動器/線性致動器
153:旋轉軸
156:俯仰-傾斜-Z載台
158:旋轉載台/旋轉致動器
160:量測分解引擎
161:結構分解模組
1621:結構模型
1622:結構模型
162N:結構模型
1631:回應模組
1632:回應模組
163N:回應模組
1641:散射回應
1642:散射回應
164N:散射回應
165:信號重組模組
166:經模型化強度
170:單位單元/典型三維快閃記憶體裝置/記憶體裝置
171:接觸件結構/接觸件
172:隱埋線結構
173:材料線
174:材料塊
176:結構
177:結構
178:結構
179:量測區
180:曲線圖
181:複雜半導體結構/結構
182:孔陣列
183:隱埋線結構/隱埋線
184:材料塊
185:影像
186:影像
190:記憶體
200:計量系統/反射小角度x射線散射測量術計量系統/系統
201:晶圓/樣品
202:量測光點
205:晶圓卡盤
210:x射線照射源
214:散射x射線輻射/散射x射線
216:照射射束
219:X射線偵測器/偵測器
222:指示
230:運算系統
231:元件
232:元件
233:元件
234:元件
235:輸出信號/信號
237:命令信號
239:命令信號
240:晶圓載台/樣品定位系統
290:記憶體
300:方法
301:方塊
302:方塊
303:方塊
304:方塊
305:方塊
306:方塊
307:方塊
308:方塊
L:長度
S1:經分解量測元素/經分解量測結構
S2:經分解量測元素/經分解量測結構
S3:經分解量測元素/經分解量測結構
X:軸
X’:軸
XBF:軸
XRF:軸
XSF:軸
Y:軸
Y’:軸
YBF:軸
YRF:軸
YSF:軸
Z:軸
Z’:軸
ZBF:軸
ZRF:軸
ZSF:軸
θ:角度/入射角
Φ:角度/方位角
圖1係圖解說明經組態以根據本文中所闡述之方法執行量測分解之一計量系統100之一圖式。
圖2繪示在一個組態中之射束整形狹縫機構120之一端視圖。
圖3繪示在另一組態中之射束整形狹縫機構120之一端視圖。
圖4繪示以由角度Φ及θ闡述之一特定定向入射於晶圓101上之x射線照射射束116。
圖5係圖解說明在晶圓載台移動至其中照射射束116入射於晶圓101上之一位置之情況下一樣品定位系統140之一圖式。
圖6係圖解說明由運算系統130實施之一例示性量測分解引擎160之一圖式。
圖7繪示受量測之一半導體結構之一單位單元170。
圖8A繪示包含多個結構之一量測區179。
圖8B繪示在量測區179內之照射強度之一非均勻分佈之一曲線圖180。
圖9A繪示藉助法向入射照射116對一複雜半導體結構181 之一量測。
圖9B繪示與藉助法向照射對複雜半導體結構181之量測相關聯之散射強度之一影像185。
圖10A繪示藉助傾斜入射照射116對同一複雜半導體結構181之量測。
圖10B繪示與藉助傾斜照射對複雜半導體結構181之量測相關聯之散射強度之一影像186。
圖11A至圖11C分別繪示如本文中所闡述之經受量測之一典型3D快閃記憶體裝置之一等距視圖、一俯視圖及一剖面圖。
圖12繪示經組態以根據本文中所闡述之方法執行量測分解之另一例示性計量系統200。
圖13繪示圖解說明如本文中所闡述之執行基於模型之X射線散射測量術量測之一例示性方法300之一流程圖。
相關申請案之交叉參考
本專利申請案依據35 U.S.C.§119主張於2017年8月14日提出申請之標題為「Efficient On-Device Metrology Using Target Decomposition」之第62/544,911號美國臨時專利申請案之優先權,該美國臨時專利申請案之標的物以全文引用方式併入本文中。
現在將詳細參考背景技術實例及本發明之某些實施例,本發明之實例圖解說明於附圖中。
本文中呈現用於裝置上結構之更高效X射線散射測量術量 測之方法及系統。裝置上結構或類裝置散射測量術目標必定係複雜的以避免量測與實際裝置結構之間的偏差。此外,由一計量系統在一給定瞬間或量測間隔量測之一半導體晶圓之區可包含一或多個裝置上結構或類裝置散射測量術目標。然而,裝置上或類裝置散射測量術目標之X射線散射測量術量測更簡單地經闡述為子結構、量測子區或兩者之一經分解集合。
圖1圖解說明用於根據本文中所呈現之例示性方法量測一樣品之特性之一穿透式小角度x射線散射測量術(T-SAXS)計量工具100之一實施例。如圖1中所展示,系統100可用於在由一照射射束光點照射之一樣品101之一量測區102內執行T-SAXS量測。
在所繪示實施例中,計量工具100包含經組態以產生適合於T-SAXS量測之x射線輻射之一x射線照射源110。在某些實施例中,x射線照射源110經組態以產生介於0.01奈米與1奈米之間的波長。一般而言,可預期能夠以足以達成高吞吐量直列式計量之通量位準產生高亮度x射線之任何適合高亮度x射線照射源以供應用於T-SAXS量測之x射線照射。在某些實施例中,一x射線源包含使得x射線源能夠遞送處於不同可選擇波長之x射線輻射之一可調諧單色儀。
在某些實施例中,採用發射具有大於15keV之光子能量之輻射之一或多個x射線源以確保x射線源供應處於允許充足透射穿過整個裝置以及晶圓基板之波長之光。藉由非限制性實例之方式,可採用一粒子加速器源、一液態陽極源、一旋轉陽極源、一固定固體陽極源、一微焦源、一微焦旋轉陽極源、一基於電漿之源及一逆康普頓(Compton)源中之任一者作為x射線照射源110。在一項實例中,可預期可自Lyncean Technologies有限公司(帕洛阿爾托,加利福尼亞州(美國))購得之一逆康 普頓源。逆康普頓源具有能夠在一光子能量範圍內產生x射線藉此使得x射線源能夠遞送處於不同可選擇波長之x射線輻射之一額外優點。
例示性x射線源包含經組態以轟擊固體或液體目標以模擬x射線輻射之電子射束源。在2011年4月19日頒發給KLA-Tencor公司之第7,929,667號美國專利中闡述用於產生高亮度液態金屬x射線照射之方法及系統,該美國專利以其全文引用方式併入本文中。
X射線照射源110在具有有限橫向尺寸(亦即,正交於射束軸線之非零尺寸)之一源區內產生x射線發射。聚焦光學器件111將源輻射聚焦至位於樣品101上之一計量目標上。有限橫向源尺寸在目標上產生由來自源之邊緣之射線117界定之有限光點大小102。在某些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。
一射束髮散度控制狹縫112位於聚焦光學器件111與射束整形狹縫機構120之間的射束路徑中。射束髮散度控制狹縫112限制提供至受量測之樣品之照射之發散度。一額外中間狹縫113位於射束髮散度控制狹縫112與射束整形狹縫機構120之間的射束路徑中。中間狹縫113提供額外射束整形。然而,一般而言,中間狹縫113係選用的。
射束整形狹縫機構120位於緊接在樣品101前面之射束路徑中。在一項態樣中,射束整形狹縫機構120之狹縫位於緊密接近於樣品101處以最小化由於有限源大小所界定之射束髮散度而放大之入射射束光點大小。在一項實例中,針對一10微米x射線源大小及射束整形狹縫與樣品101之間的25毫米之一距離,由於有限源大小所形成之陰影而擴張之射束光點大小係大致1微米。
在某些實施例中,射束整形狹縫機構120包含多個獨立地 經致動之射束整形狹縫(亦即,葉片)。在一項實施例中,射束整形狹縫機構120包含四個獨立地經致動之射束整形狹縫。此四個射束整形狹縫有效地阻擋傳入射束115之一部分且產生具有一框形照射剖面之一照射射束116。
圖2及圖3以兩種不同組態繪示圖1中所繪示之射束整形狹縫機構120之一端視圖。如圖2及圖3中所圖解說明,射束軸線垂直於繪圖頁。如圖2中所繪示,傳入射束115具有一大剖面。在某些實施例中,傳入射束115具有大致1毫米之一直徑。此外,傳入射束115在射束整形狹縫126至129內之位置可由於射束指向誤差而具有大致3毫米之一不確定性。為適應傳入射束之大小及射束位置之不確定性,每一狹縫具有大致6毫米之一長度L。如圖2中所繪示,每一狹縫可在垂直於射束軸線之一方向上移動。在對圖2之圖解說明中,狹縫126至129位於距射束軸線之一最大距離處,亦即,該等狹縫係完全敞開的且其不限定穿過射束整形狹縫機構120之光。
圖3繪示射束整形狹縫機構120之狹縫126至129,狹縫126至129處於阻擋傳入射束115之一部分之位置中,使得遞送至受量測之樣品之傳出射束116具有經減小大小及界限清楚之形狀。如圖3中所繪示,狹縫126至129中之每一者已朝向射束軸線向內移動以達成所要輸出射束形狀。
狹縫126至129由最小化散射且有效地阻擋入射輻射之材料構造。例示性材料包含單晶材料,諸如鍺、砷化鎵、磷化銦等。通常,狹縫材料沿著一結晶方向經切開而非鋸開,以跨越結構邊界最小化散射。另外,狹縫相對於傳入射束而定向,使得傳入輻射與狹縫材料之內部結構之 間的相互作用產生最少量之散射。晶體附接至由高密度材料(例如,鎢)製成之每一狹縫固持器以在狹縫之一個側上完全阻擋x射線射束。在某些實施例中,每一狹縫具有一矩形剖面,該矩形剖面具有大致0.5毫米之一寬度及大致1毫米至2毫米之一高度。如圖2中所繪示,一狹縫之長度L係大致6毫米。
一般而言,x射線光學器件將x射線輻射整形且將x射線輻射引導至樣品101。在某些實例中,x射線光學器件包含使入射於樣品101上之x射線射束單色化之一x射線單色儀。在某些實例中,x射線光學器件使用多層x射線光學器件以小於1毫弧度發散度準直x射線射束或將x射線射束聚焦至樣品101之量測區102上。在此等實例中,多層x射線光學器件亦用作一射束單色儀。在某些實施例中,x射線光學器件包含一或多個x射線準直鏡、x射線孔隙、x射線射束截捕器、折射x射線光學器件、繞射光學器件(諸如波帶片)、Montel光學器件、鏡面x射線光學器件(諸如掠入射橢球面鏡)、多毛細管光學器件(諸如空心毛細管x射線波導)、多層光學器件或系統或者其任一組合。在第2015/0110249號美國專利公開案中闡述進一步細節,該美國專利公開案之內容以其全文引用方式併入本文中。
X射線偵測器119根據一T-SAXS量測模態收集自樣品101散射之x射線輻射114且產生指示對入射x射線輻射敏感之樣品101之性質之輸出信號135。在某些實施例中,由x射線偵測器119收集散射x射線114,而樣品定位系統140將樣品101定位且定向以產生以角度方式解析之散射x射線。
在某些實施例中,一T-SAXS系統包含具有高動態範圍(例如,大於105)之一或多個光子計數偵測器。在某些實施例中,一單個光子 計數偵測器偵測所偵測光子之位置及數目。
在某些實施例中,x射線偵測器解析一或多個x射線光子能量且針對每一x射線能量分量產生指示樣品之性質之信號。在某些實施例中,x射線偵測器119包含以下各項中之任一者:一CCD陣列、一微通道板、一光電二極體陣列、一微帶比例計數器、一以氣體填充之比例計數器、一閃爍器或一螢光材料。
以此方式,除像素位置及計數數目之外,亦藉由能量來區分偵測器內之X射線光子相互作用。在某些實施例中,藉由比較X射線光子相互作用之能量與一預定上部臨限值及一預定下部臨限值而區分X射線光子相互作用。在一項實施例中,經由輸出信號135將此資訊傳遞至運算系統130以進行進一步處理及儲存。
藉由晶圓101相對於x射線照射射束115之任何兩個角度旋轉來闡述照射x射線射束116相對於一半導體晶圓101之表面法線之每一定向,或反之亦然。在一項實例中,可相對於固定至晶圓之一座標系闡述該定向。圖4繪示以由一入射角θ及一方位角Φ闡述之一特定定向入射於晶圓101上之x射線照射射束116。座標系XYZ固定至計量系統(例如,照射射束116)且座標系X’Y’Z’固定至晶圓101。Y軸與晶圓101之表面平面內對準。X及Z不與晶圓101之表面對準。Z’與法向於晶圓101之表面之一軸對準,且X’及Y’在與晶圓101之表面對準之一平面中。如圖4中所繪示,x射線照射射束116與Z軸對準且因此位於XZ平面中。入射角θ闡述x射線照射射束116在XZ平面中相對於晶圓之表面法線之定向。此外,方位角Φ闡述XZ平面相對於X’Z’平面之定向。θ及Φ共同來唯一地界定x射線照射射束116相對於晶圓101之表面之定向。在此實例中,藉由圍繞法向於晶圓101 之表面之一軸(亦即,Z’軸)之一旋轉及圍繞與晶圓101之表面對準之一軸(亦即,Y軸)之一旋轉來闡述x射線照射射束相對於晶圓101之表面之定向。在某些其他實例中,藉由圍繞與晶圓101之表面對準之一第一軸及與晶圓101之表面對準且垂直於該第一軸之另一軸之一旋轉來闡述x射線照射射束相對於晶圓101之表面之定向。
如圖1中所圖解說明,計量工具100包含經組態以對準樣品101且使樣品101相對於照射射束116在一大入射角及方位角範圍內定向之一樣品定位系統140。在某些實施例中,樣品定位系統140經組態以使樣品101在與樣品101之表面平面內對準之一大旋轉角度範圍(例如,至少60度)內旋轉。以此方式,由計量系統100在樣品101之表面上之任一數目個位置及定向內收集樣品101之角度解析之量測。在一項實例中,運算系統130將指示樣品101之所要位置之命令信號(未展示)傳遞至樣品定位系統140。作為回應,樣品定位系統140產生去往樣品定位系統140之各種致動器之命令信號,該等致動器用以達成樣品101之所要定位。
圖5繪示在一項實施例中之一樣品定位系統140。如圖5中所繪示,樣品定位系統140包含一基底框架141、一橫向對準載台142、一載台參考框架143及一晶圓載台144。出於參考目的,{XBF,YBF,ZBF}座標系附接至基底框架141,{XNF,YNF,ZNF}座標系附接至橫向對準載台142,{XRF,YRF,ZRF}座標系附接至載台參考框架143,且{XSF,YSF,ZSF}座標系附接至晶圓載台144。藉由包含致動器150A至150C之一俯仰-傾斜-Z載台156將晶圓101支撐於晶圓載台144上。安裝至俯仰-傾斜-Z載台156之一旋轉載台158使晶圓101相對於照射射束116在一方位角Φ範圍內定向。在所繪示之實施例中,三個線性致動器150A至150C安裝至晶圓載台 144且支撐旋轉載台158,旋轉載台158又支撐晶圓101。
致動器145使橫向對準載台142相對於基底框架141沿著XBF軸平移。旋轉致動器146使載台參考框架143相對於橫向對準載台142圍繞與YNF軸對準之一旋轉軸153旋轉。旋轉致動器146使晶圓101相對於照射射束116在一入射角θ範圍內定向。晶圓載台致動器147及148使晶圓載台144相對於載台參考框架143分別沿著XRF軸及YRF軸平移。致動器150A至150C協調操作以使旋轉載台158及晶圓101相對於晶圓載台144在ZSF方向上平移且使旋轉載台158及晶圓101相對於晶圓載台144圍繞與XSF-YSF平面共面之軸俯仰且傾斜。旋轉載台158使晶圓101圍繞法向於晶圓101之表面之一軸旋轉。
總之,晶圓載台144能夠使晶圓101相對於照射射束116移動,使得照射射束116可入射於晶圓101之表面上之任一位置處(亦即,在XRF及YRF方向上至少300毫米範圍)。旋轉致動器146能夠使載台參考框架143相對於照射射束116旋轉,使得照射射束116可以一大入射角(例如,大於2度)範圍中之任一者入射於晶圓101之表面處。在一項實施例中,旋轉致動器146經組態以使載台參考框架143在至少60度之一範圍內旋轉。安裝至晶圓載台144之旋轉致動器158能夠使晶圓101相對於照射射束116旋轉,使得照射射束116可以一大方位角範圍(例如,至少90度旋轉範圍)中之任一者入射於晶圓101之表面處。
在某些其他實施例中,移除橫向對準載台142且藉由旋轉致動器146使載台參考框架143相對於基底框架141旋轉。在此等實施例中,x射線照射系統包含使x射線照射系統之一或多個光學元件移動之一或多個致動器,該一或多個致動器致使x射線照射射束116相對於基底框架 141(舉例而言)在XBF方向上移動。在此等實施例中,由x射線照射系統之一或多個光學元件之移動替換載台參考載台143之移動以使x射線照射射束相對於旋轉軸153移動至所要位置,舉例而言。
在所繪示之實施例中,射束整形狹縫機構120經組態以與樣品之定向協調地圍繞射束軸線旋轉以最佳化針對每一入射角、方位角或兩者之入射射束之輪廓。以此方式,使射束形狀與計量目標之形狀匹配。如圖5中所繪示,旋轉致動器122使框架120及所有所附接結構、致動器、感測器及狹縫圍繞照射射束116之軸線旋轉。
在一額外態樣中,採用一T-SAXS系統來基於散射光之一或多個繞射級而判定一樣品之性質(例如,結構參數值)。如圖1中所繪示,系統100包含一運算系統130,運算系統130用以獲取由偵測器119產生之信號135且至少部分地基於該等所獲取信號而判定樣品之性質且將經判定所關注參數139儲存於一記憶體(例如,記憶體190)中。
在某些實施例中,基於SAXS之計量涉及藉由具有所量測資料之一預定量測模型之反解判定樣本之尺寸。該量測模型包含幾個(大約十個)可調整參數且表示樣品之幾何形狀及光學性質以及量測系統之光學性質。反解方法包含但不限於基於模型之回歸、斷層掃描、機器學習或其任何組合。以此方式,藉由對一參數化量測模型之值求解來估計目標輪廓參數,該等值最小化所量測散射x射線強度與經模型化結果之間的誤差。
在某些實施例中,該量測模型係量測之一電磁模型(例如,一波恩波模型),該量測產生表示自受量測之目標之散射之影像。舉例而言,在圖9B及圖10B中所繪示之影像185及186係表示自受量測之一目標 之散射之影像。可藉由程序控制參數(例如,蝕刻時間、蝕刻傾斜、蝕刻選擇性、沈積速率、焦點、劑量等)將經模型化影像參數化。亦可藉由所量測結構之結構參數(例如,高度、在不同高度處之直徑、一孔相對於其他結構之對準、一孔特徵之平直度、一孔特徵之同心度、隨深度而變之所沈積層之厚度、所沈積層跨越一特定孔特徵或在不同孔特徵之間的均勻性等)將經模型化影像參數化。
採用所量測散射影像以藉由執行一逆解而估計所關注參數中之一或多者之值。在某些實例中,一逆解估計程序參數、幾何參數或兩者之值,該等值產生最緊密地匹配所量測影像之經模型化散射影像。在某些實例中,藉由回歸方法(例如,梯度下降等)使用量測模型來搜尋散射影像之空間。在某些實例中,產生一經預運算影像庫且搜尋該庫以找出產生經模型化影像與經量測影像之間的最佳匹配的所關注參數中之一或多者之值。
在某些其他實例中,藉由一機器學習演算法訓練一量測模型以使諸多散射影像樣本與已知程序條件、幾何參數值或兩者相關。以此方式,經訓練量測模型將經量測散射影像映射至程序參數、幾何參數或兩者之所估計值。在某些實例中,經訓練量測模型係界定實際量測與所關注參數之間的一直接函數關係之一信號回應計量(SRM)模型。
一般而言,本文中所闡述之經訓練模型中之任一者經實施為一神經網路模型。在其他實例中,經訓練模型中之任一者可實施為一線性模型、一非線性模型、一多項式模型、一回應表面模型、一支援向量機模型、一決策樹模型、一隨機森林模型、一深度網路模型、一迴旋網路模型或其他類型之模型。
在某些實例中,本文中所闡述之經訓練模型中之任一者可實施為模型之一組合。在Pandev等人之第2016/0109230號美國專利申請案中提供對模型訓練及半導體量測之經訓練量測模型之使用之額外說明,該美國專利申請案之內容以其全文引用方式併入本文中。
在某些其他實例中,不包含一預想幾何結構及材料分佈之一自由形式模型闡述受量測之結構之幾何結構及材料參數。在某些實例中,該模型包含各自具有一可獨立調整之材料參數值(例如,電子密度、吸收率或複折射率)之諸多小立體像素(體積元素)。在某些其他實施例中,材料性質係逐段恆定的。先驗判定與每一不同材料相關聯之性質。不同材料之間的邊界係自由形式表面,且此等表面可藉由等位集演算法判定。
使用所量測散射測量術資料來計算樣本之一影像。在某些實例中,該影像係電子密度、吸收率、複折射率或此等材料特性之一組合之一個二維(2-D)圖譜。在某些實例中,該影像係電子密度、吸收率、複折射率或此等材料特性之一組合之一個三維(3-D)圖譜。該圖譜係使用相對少之物理約束產生的。在Sezginer等人之第2015/0300965號美國專利公開案中進一步詳細地闡述此等技術,該美國專利公開案之標的物以其全文引用方式併入本文中。
在某些實施例中,期望以大入射角及方位角範圍執行量測以增加所量測參數值之精確度及準確度。此方法藉由擴展可用於分析之資料集之數目及多樣性以包含各種大角度平面外定向而減少參數之間的相關性。舉例而言,在一法向定向中,T-SAXS能夠解析一特徵之臨界尺寸,但在很大程度上對一特徵之側壁角度及高度不敏感。然而,藉由在一寬廣 平面外角度定向範圍內收集量測資料,可解析一特徵之側壁角度及高度。在其他實例中,以大入射角及方位角範圍執行之量測提供充足解析度及穿透深度以貫穿其整個深度表徵高縱橫比結構。
收集繞射輻射之強度隨相對於晶圓表面法線之x射線入射角而變之量測。含納於多個繞射級中之資訊在考量中之每一模型參數之間通常係唯一的。因此,x射線散射以小誤差及經減少參數相關性產生所關注參數之值之估計結果。
在一項態樣中,在一量測區內之一或多個結構之一X射線散射測量術量測包含將該一或多個結構分解成複數個子結構、將該量測區分解成複數個子區或兩者。該量測區係由一計量系統在一給定瞬間或量測間隔(例如,用於一個別量測之資料收集之持續時間)量測之一半導體晶圓之一區。獨立地模擬該等經分解結構、量測區或兩者。對於以波恩近似法準確地表示之X射線散射測量術量測應用,基於子結構、子區或兩者之獨立表徵而產生足夠準確計量模型。由於X射線之弱散射,半導體結構之SAXS量測一般符合波恩近似法。
對於以波恩近似法準確地表示之週期性結構之X射線散射測量術量測,來自結構之一無窮小切片之一給定散射級在結構中之一給定高度處之場強度與週期性結構之傅裡葉係數線性地成比例。總場強度需要在垂直方向上之所有強度之積分。由於積分係線性操作,因此線性地累積來自任一層之場。類似地,對於以波恩近似法準確地表示之幾乎週期性結構之X射線散射測量術量測,一給定繞射級之場強度以與純週期性結構相同之方式與幾乎週期性結構之傅裡葉係數之一近似值線性地成比例。因此,存在散射與一特定週期性或幾乎週期性結構之間的一線性關係。舉例 而言,彼此上下地堆疊之兩個週期性結構之散射係來自每一個別週期性結構之散射之一線性組合。
圖6係圖解說明由運算系統130實施之一例示性量測分解引擎160之一圖式。如圖6中所繪示,量測分解引擎160包含一結構分解模組161,結構分解模組161產生與若干個經分解量測元素S1、…SN中之每一者相關聯之一結構模型,其中N係任何適合整數值。在某些實例中,一經分解量測元素係受量測之一結構之一子結構。在某些其他實例中,一經分解量測元素包含在量測區之一子區內量測之任一(何)結構或子結構。在某些實施例中,結構模型(亦即,1621…162N)中之一或多者亦包含與(若干)所量測子結構、(若干)子區內之(若干)結構或(若干)子結構或兩者相關聯之材料性質。將每一結構模型1621…162N傳遞至一對應回應模組1631…163N。每一回應模組1631…163N獨立地產生與每一經分解量測元素S1、…SN對應之一散射回應1641…164N
在某些實施例中,將受量測之一複雜化週期性結構模型化為簡單週期性子結構之一總和。在此等實施例中,結構分解模組161產生與簡單週期性子結構中之每一者相關聯之一結構模型。獨立地模擬與此等子結構中之每一者相關聯之散射。對於複雜化週期性幾何結構,各種簡單週期性形狀擬合在一起以近似得出複雜化結構。以此方式,藉由各種簡單週期性形狀之求和而在數學上近似得出複雜化結構。以此方式,經模型化X射線散射與複雜化結構之散射基本上完全相同。在某些實例中,採用具相同週期性之不同週期性形狀來近似得出複雜化結構。在某些實例中,採用具有不同週期性之不同週期性形狀來近似得出複雜化結構。在某些實例中,採用以一幾乎週期性方式重複多次之一相對簡單形狀來近似得出複雜 化結構。
在某些實例中,一複雜化形狀消耗包含週期性地複製之一簡單形狀之一週期性模型之總體積之極少體積。在此等實例中之某些實例中,將一複雜化週期性結構近似為具有一小週期之一簡單結構及具有係小週期之一整數倍數之一大週期之另一結構擾動。以此方式,對重疊(亦即,共用與Q空間中之量測相同之散射角)之小週期及大週期之散射級求和。
圖7繪示受量測之一半導體結構之一單位單元170。每一單位單元170包含在一隱埋線結構172上製作之接觸件結構171之一陣列。隱埋線結構172包含由一不同材料塊174週期性地中斷之一材料線173。隱埋線結構172之空間週期性顯著大於接觸件171之空間週期性。在一項實例中,每第10個接觸件對應於一材料塊174。在此實例中,接觸件171陣列之每第10個散射級與每一不同材料塊之每一散射級重疊(亦即,共用與Q空間中之量測相同之散射角度)。在此實例中,計量結構係一系列重複單位單元170。在此實例中,僅將單位單元170而非整個計量結構模型化。此外,在Q空間中對與每一不同子結構(亦即,接觸件結構171之陣列及隱埋線結構172)相關聯之散射求和。以此方式,獨立地模擬與每一不同子結構相關聯之散射且對該散射求和以達成對計量結構之散射之一估計。
量測區可包含多個裝置上結構或類裝置散射測量術目標。在某些實施例中,將量測區細分成若干個不同子區,每一子區與一不同結構或子結構組合相關聯。在此等實施例中,結構分解模組161產生與子區中之每一者或每一子區之每一子結構相關聯之一結構模型。獨立地模擬與此等子區中之每一者相關聯之散射。
圖8A繪示包含結構178以及結構176及177之部分之一量測區179。在某些實例中,照射強度跨越量測區179係均勻的。在此等實例中,來自每一區之強度貢獻隨每一子區之面積比例縮放。舉例而言,與量測區179之背景相關聯之子區係量測區之20%,與結構176相關聯之子區係量測區179之60%,與結構177相關聯之子區係量測區179之10%,且與結構178相關聯之子區係量測區179之10%。然而,在某些其他實例中,照射強度跨越量測區179係不均勻的。舉例而言,圖8B繪示在量測區179內之照射強度之一非均勻分佈之一曲線圖180。在此等實例中,藉由對量測區之每一不同子區之強度分佈求積分以判定來自每一區之強度貢獻而對來自每一區之強度貢獻進行運算。
在另一態樣中,獨立地模擬與每一經分解量測元素相關聯之散射回應。如圖6中所繪示,藉由非限制性實例之方式,每一回應模組1631…163N獨立地產生與每一經分解量測元素對應之一散射回應1641…164N。一般而言,獨立地對與每一經分解結構相關聯之複雜散射場進行運算。一般而言,對每一經分解量測元素之傅裡葉變換進行運算且使用該傅裡葉變換以使用任何適合電磁模型化解算器(例如,有限元素方法(FEM)、嚴格耦合波分析(RCWA)、波恩分析等)來模擬與每一經分解量測元素相關聯之散射場。在一較佳實施例中,透過一系統模型傳播每一所得散射場以在偵測器處達成對與每一經分解量測元素相關聯之散射場之一估計。在某些其他實施例中,在目標處組合與每一經分解量測元素相關聯之散射場且透過一系統模型傳播該經組合散射場以在偵測器處達成對該經組合散射場之一估計。
在再一態樣中,獨立模擬之經分解結構中之每一者之散射 貢獻經組合以模擬量測區內之所量測結構之實際散射。如圖6中所繪示,藉由非限制性實例之方式,信號重組模組165估計在偵測器處之經模型化強度166以用於組合與每一經分解量測元素對應之散射回應。
一般而言,取決於(若干)下伏結構之照射是同調的、非同調的還是同調與非同調之某一組合而以不同方式組合與經分解量測結構之任一組合相關聯之散射場。換言之,當來自經分解量測結構之所有干擾波之路徑相差比照射源之同調長度多時,照射係完全不同調的。當來自經分解量測結構之所有干擾波之路徑相差比照射源之同調長度少時,照射係完全同調的。當來自經分解量測結構之某些干擾波之路徑相差比照射源之同調長度少且來自經分解量測結構之某些干擾波相差比照射源之同調長度多時,照射係同調與非同調之一組合。
藉由實例方式,與經分解量測結構S1相關聯之散射場之散射振幅由A1給出。類似地,與經分解量測結構S2相關聯之散射場之散射振幅由A2給出。
若經分解量測結構S1及S2之照射被視為係同調的,則將q空間中之同一點處之組合強度計算為散射振幅之總和乘以散射振幅之總和之共軛複數,如由方程式(1)所圖解說明。
I coherent =conj(A 1+A 2)*(A 1+A 2) (1)
若經分解量測結構S1及S2之照射被視為係不同調的,則將q空間中之同一點處之組合強度計算為每一散射振幅乘以其共軛複數之總和,如由方程式(2)所圖解說明,亦即,與偵測器處之每一經分解量測結構之散射場相關聯之強度之總和。
I incoherent =conj(A 1)* A 1+conj(A 2)* A 2 (2)
對於一理想化偵測器、照射及目標,到達偵測器上之每一點之所有光子對應於q空間中之一唯一點,亦即,對應於自目標之一唯一散射角度。然而,在實務上,各種非理想因素(諸如目標上之有限光點大小、射束之非零發散度、目標中之非週期性等)導致在每一散射角度下之一有限點擴散函數。由於此等非理想因素,因此(舉例而言)在偵測器上之一點處接收之光子之一部分來自兩個不同級係常見的。由於光在q空間中之兩個不同點處散射,因此強度以不同調方式增加。
若經分解量測結構S1及S2之照射被視為係不同調及同調兩者,則將偵測器處之組合強度計算為如由方程式(1)估計之組合強度與如由方程式(2)估計之組合強度之一組合。舉例而言,若經分解量測結構S1及S2之照射被視為一半不同調且一半同調,則可將組合強度估計為(0.5*Icoherent+0.5*Iincoherent)。以此方式,經分解量測結構S1與S2之混合被視為同調散射體與非同調散射體之一線性組合。
一般而言,一或多個所量測結構之分解達成經簡化模擬。對每一經分解結構獨立地執行離散化、變換計算及電磁模擬明顯地比對完整週期性單位單元之一複雜模型執行相同計算所付出之計算努力少。
一般而言,可藉由分解量測裝置上結構或類裝置結構之複雜化組合。否則結構之此等複雜化組合之直接模型化在時間及運算資源方面係成本過高的。
然而,裝置上量測之所收集信號量可係大的。因此,儲存此等信號及此等信號之函數(例如雅克比及麻布)可耗費顯著資源。在一額外態樣中,採用主成分分析或任何其他適合資料壓縮方法(例如,線性或非線性壓縮)來減小所收集信號及相關聯變換之尺寸。
在一額外態樣中,採用量測分解作為量測模型模擬、量測模型訓練或量測配方開發之一部分。
在某些實施例中,一所量測疊對計量目標包含在量測區之不同子區中之兩個不同結構。在一項實例中,計量目標之一半係在一個方向(例如,x方向)上定向之一光柵,而計量目標之另一半係在一正交方向(y方向)上定向之一光柵。在此等實施例中,藉由量測分解(亦即,來自每一光柵結構之所量測信號之線性組合)同時量測在兩個方向(例如,x方向及y方向)上之疊對。
在某些實施例中,一所量測疊對計量目標包含經設計以同時量測兩個以上層之間的疊對之一結構組合。在此等計量目標中,目標之不同部分指示不同層之間的疊對(例如,線層之三個後端M1、V0、M0之間的疊對)。
SAXS系統通常照射一裝置之非期望區域。舉例而言,R-SAXS系統照射大區且T-SAXS系統照射隱埋結構。因此,在偵測器上量測附帶的非必要資料係常見的。
圖9A繪示藉助法向入射照射116對一複雜半導體結構181之量測。該複雜半導體結構包含在一隱埋線結構183上方製作之一孔陣列182,隱埋線結構183包含由一不同材料塊184週期性地中斷之一材料線。圖9B繪示與藉助法向照射對複雜半導體結構181之一T-SAXS量測相關聯之散射強度之一影像185。如圖9B中所繪示,僅觀察到自經蝕刻孔之散射。
圖10A繪示藉助傾斜入射照射116對同一複雜半導體結構181之量測。圖10B繪示與藉助傾斜照射對複雜半導體結構181之T-SAXS 量測相關聯之散射強度之一影像186。如圖10B中所繪示,觀察到自經蝕刻孔及隱埋線183兩者之散射。
在一額外態樣中,採用包含一或多個附帶結構之經量測強度及經模型化強度來執行所關注結構之量測。在某些實例中,將裝置上量測分解成用於計量之一關鍵目標及充當一附帶結構之一複雜底層結構。一附帶結構使在偵測器處偵測到之照射光散射,但一附帶結構不受關注。因此,關鍵結構之散射測量術量測被來自附帶結構之量測信號污染。
在某些實例中,自一關鍵結構收集包含被來自一附帶結構之量測信號污染之量測。另外,自在同一附帶底層上方製作之一簡單結構收集量測。採用如本文中所闡述之量測分解以自與該簡單結構相關聯之量測資料直接減去與該關鍵結構相關聯之量測資料以有效地取消與該附帶底層相關聯之量測信號。
在另一額外態樣中,執行多個結構之量測,每一結構具有經分解子結構之不同組合。在某些實施例中,基於多個結構之量測而將自一附帶結構之散射模型化。在某些實施例中,基於多個結構之量測而將自一附帶結構之散射模型化,其中該等結構中之一者不包含該附帶結構。
如圖10B中所圖解說明,藉助傾斜照射對結構181之量測產生自最後經圖案化結構(亦即,孔陣列182)及一底層結構(亦即,隱埋線183)之散射。然而,在某些實施例中,不必要建構該底層結構之一詳細參數模型來量測最後經圖案化結構。
在另一額外態樣中,SAXS量測系統之一模型包含底層結構之一任意附帶模型(例如,隨機模型)且採用模型分解來分解量測且隔離與最後經圖案化結構相關聯之信號。週期性結構以Q空間中之具體角度來 散射。然而,隨機結構以Q空間中之諸多不同角度來散射。因此,採用量測分解來將與一或多個所量測週期性結構及隨機散射體(例如,底層結構)相關聯之散射分開。
在某些實例中,基於由一或多個所關注參數(例如,臨界尺寸、疊對等)參數化之所關注結構之一模型而分解繞射級之相互作用且藉由一任意參數模型(例如,隨機模型)而將下伏附帶結構模型化。該任意參數模型係受系統之模型約束的。
在一項實例中,量測模型在存在附帶結構(例如,隨機下伏結構)之情況下使預期所關注參數回歸。
在另一實例中,量測模型使隨機參數模型回歸以識別附帶資料。自所量測資料減去附帶資料以依據組合所量測資料重建等效附帶樹資料。量測模型然後依據附帶樹資料使預期所關注參數回歸。
在另一額外態樣中,一附帶模型直接對偵測器上之所量測散射資料進行操作且有效地對該所量測資料進行篩選以移除附帶結構對所量測資料之影響。在某些實例中,該附帶模型係用以闡述已知與附帶結構相關聯之所量測資料中之所觀察到之現象之一啟發模型。該附帶模型可係具有對一組基底函數操作之常數係數之一線性模型。調諧該等係數以自所量測資料移除儘可能多之附帶資料。在對所量測資料進行篩選之後,採用所得經篩選量測資料作為如本文中所闡述之所關注參數之一基於模型之量測之一部分。
在某些其他實例中,透過SAXS系統之模型藉由一回歸模型(例如,神經網路模型等)之解迴旋、模型擬合、訓練來提取所觀察到之散射函數以隔離與所關注結構相關聯之量測信號。此方法尤其可用於量測 裝置上之邏輯結構。在此等量測應用中,該等結構通常包含由非週期性線切口中斷之週期性線及空間。可藉由調整SAXS系統之模型(例如,藉由通量重新正規化)而補償自隨機化切口之X射線散射。舉例而言,通量正規化緩解由不有助於主要繞射峰值之隨機切口引起之經減少散射。另外,可基於如前文所闡述之量測分解而判定週期性目標之所關注參數之值以及線切口之大小。
在另一額外態樣中,採用量測分解來訓練一輸入-輸出量測模型,諸如一信號回應計量模型(例如,神經網路模型、深度學習網路模型、支援向量機模型等),該輸入-輸出量測模型建立經量測散射強度與一或多個所關注參數之值之間的一函數關係。
在某些實例中,自相對簡單散射測量術結構及較複雜化裝置上或類裝置結構收集量測資料以訓練能夠依據該等裝置上或類裝置結構之量測估計所關注參數之值之一庫/模型。在某些實例中,藉由量測分解將自相對簡單散射測量術目標收集之資料與由一較大結構之區域收集之資料分開。在某些實例中,一可選擇照射系統(例如,用以控制照射之一聲光調變器、數位鏡裝置、可選擇孔隙等)藉由使量測區之大小變化(例如,自5微米至超過1毫米)而允許相對簡單散射測量術結構及較複雜化裝置上或類裝置結構之單獨照射。
相對簡單散射測量術目標可係一較大結構或物理上分開之結構之一或多個容易地表徵之區域。藉由量測分解、可選擇照射或兩者,未毀壞量測資料達成準確量測模型訓練。經訓練量測模型基於被附帶資料毀壞之量測而達成複雜結構之較快量測。在某些實例中,對具有匹配單元內量測之密集目標結果訓練一量測模型以過濾附帶光柵效應。
對於受光限制之系統,其中較大經照射區意味較快量測(例如,SAXS),此亦意味可形成關於來自簡單目標(例如,來自經解耦信號)之量測之配方且訓練該等配方以報告關於複雜裝置上量測之此等量測。
在另一額外態樣中,採用量測分解來最佳化一特定量測應用之一量測配方。一最佳化量測配方包含量測系統之物理參數之一選擇,該選擇增強所關注信號且抑制來自附帶結構之信號。
如圖9A至圖9B及圖10A至圖10B中所繪示,自下伏結構之散射在偵測器處之可觀察性取決於照射入射角之選擇。在聚焦於最後經圖案化結構之量測應用中,照射入射角之恰當選擇使得能夠抑制起因於下伏結構之附帶散射信號。一般而言,基於量測分解之系統模型化使得能夠模擬各種量測配方(亦即,量測系統參數值之組合),該等量測配方增強所關注信號且抑制來自附帶結構之信號。例示性系統參數包含但不限於發散形狀、照射光點大小、照射光點位置、入射角、方位角、曝光時間、目標定向及源形狀。藉由針對如本文中所闡述之經分解量測使用取樣策略,可經由經分解模型之模擬藉由原始信號殘餘或計量結果量化信號污染程度。另外,當存在可行目標時可藉由實際量測驗證此等結果。
在另一態樣中,基於所關注參數(例如,臨界尺寸、疊對、高度、側壁角度等)之所量測值而判定程序校正且將該等校正傳遞至一程序工具以改變該程序工具(例如,微影工具、蝕刻工具、沈積工具等)之一或多個程序控制參數。在某些實施例中,執行SAXS量測且在對所量測結構執行程序時更新程序控制參數。在某些實施例中,在一特定程序步驟之後執行SAXS量測且更新與彼程序步驟相關聯之程序控制參數以藉由彼程序步驟處理未來裝置。在某些實施例中,在一特定程序步驟之後執行 SAXS量測且更新與一後續程序步驟相關聯之程序控制參數以藉由該後續程序步驟處理所量測裝置或其他裝置。
在某些實例中,可將基於本文中所闡述之量測方法而判定之所量測參數之值傳遞至一蝕刻工具以調整蝕刻時間從而達成一所要蝕刻深度。以一類似方式,蝕刻參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於一量測模型中以分別提供對蝕刻工具或沈積工具之主動回饋。在某些實例中,可將基於所量測裝置參數值而判定之對程序參數之校正傳遞至程序工具。在一項實施例中,運算系統130在程序期間基於自計量系統100接收之所量測信號135而判定一或多個所關注參數之值。另外,運算系統130基於一或多個所關注參數之所判定值而將控制命令138傳遞至一程序工具(例如,蝕刻工具、離子植入工具、微影工具等)。控制命令138致使一程序控制器改變程序之狀態(例如,停止蝕刻程序、改變擴散率、改變微影焦點、改變微影劑量等)。在一項實例中,控制命令138致使一程序控制器在量測一所要蝕刻深度時停止蝕刻程序。在另一實例中,控制命令138致使一程序控制器改變蝕刻速率以改良一CD參數之所量測晶圓均勻性。
一般而言,當入射x射線照射與週期性特徵相互作用時,x射線照射以同調方式、部分以同調方式或以不同調方式散射以在偵測器119上形成一繞射影像(例如,圖9B及圖10B中所繪示之影像185及186)。當恰當地調諧程序工具時達成所要散射影像或散射影像序列。然而,當所量測影像偏離所要影像或所要影像序列時,此等偏離指示程序工具漂移且亦指示對使程序工具回到恰當調諧所需要之程序控制變數之校正。
一般而言,一計量目標由一縱橫比表徵,該縱橫比經定義 為一最大高度尺寸(亦即,法向於晶圓表面之尺寸)除以計量目標之一最大橫向延伸範圍尺寸(亦即,與晶圓表面對準之尺寸)。在某些實施例中,受量測之計量目標具有至少20之一縱橫比。在某些實施例中,計量目標具有至少40之一縱橫比。
圖11A至圖11C分別繪示以本文中所闡述之方式經受量測之一典型3D快閃記憶體裝置170之一等距視圖、一俯視圖及一剖面圖。記憶體裝置170之總高度(或等效地深度)介於自1微米至數微米之範圍內。記憶體裝置170係一垂直製造之裝置。一垂直製造之裝置(諸如記憶體裝置170)使一習用平面記憶體裝置基本上轉動90度,從而使位線及單元串垂直地(垂直於晶圓表面)定向。為提供充足記憶體容量,在晶圓上沈積不同材料之大量交替層。對於具有100奈米或更少之一最大橫向延伸範圍之結構,此需要圖案化程序表現良好地達到數微米之深度。因此,25:1或50:1之縱橫比並非罕見的。
儘管圖1繪示一穿透式SAXS量測系統,但一般而言,可採用一反射SAXS(R-SAXS)量測系統來以本文中所闡述之方式量測淺特徵。
圖12繪示用於基於安置於晶圓上之半導體結構之x射線散射測量術量測而量測一晶圓201之一例示性R-SAXS計量系統200。R-SAXS計量系統200包含一反射x射線散射計。晶圓201附接至晶圓卡盤205且藉由晶圓載台240相對於x射線散射計而定位。
在所繪示之實施例中,R-SAXS計量系統200包含一x射線照射源210,x射線照射源210經組態以類似於參考圖1對照射源110之說明而產生適合用於反射SAXS量測之x射線輻射。
在某些實例中,運算系統130將命令信號237傳遞至x射線照射源210,命令信號237致使x射線照射源210以一所要能階發射x射線輻射。改變該能階以獲取具有關於受量測之高縱橫比結構之更多資訊之量測資料。
照射射束216在一量測光點202內照射樣品201。在入射於晶圓201處之後,散射x射線輻射214由X射線偵測器219收集且根據一反射SAXS量測模態產生指示對入射x射線輻射敏感之樣品201之性質之輸出信號235。在某些實施例中,當樣品定位系統240將樣品201定位且定向以根據自運算系統230傳遞至樣品定位系統240之命令信號239產生以角度方式解析之散射x射線時由x射線偵測器219收集散射x射線214。
在一額外態樣中,採用運算系統230以基於散射光之一或多個繞射級而判定晶圓201之性質(例如,結構參數值)。如圖13中所繪示,系統200包含一運算系統230,運算系統230用以獲取由偵測器219產生之信號235且至少部分地基於該等所獲取信號而判定樣品之性質,且將所關注參數之所判定值之一指示222儲存於一記憶體(例如,記憶體290)中。
一般而言,運算系統130經組態以採用即時臨界尺寸標注(RTCD)來即時存取模型參數,或其可存取經預運算模型之庫以判定與樣品101相關聯之至少一個樣品參數值之一值。一般而言,可使用某種形式之CD引擎來評估一樣品之經指派CD參數與和所量測樣品相關聯之CD參數之間的差。在2010年11月2日頒發給KLA-Tencor公司之第7,826,071號美國專利中闡述用於對樣品參數值進行運算之例示性方法及系統,該美國專利以其全文引用方式併入本文中。
在另一態樣中,一或多個SAXS系統經組態以在一程序間隔期間量測一晶圓之多個不同區。在某些實施例中,基於跨越晶圓之每一所關注參數之經量測值而判定與每一經量測所關注參數相關聯之一晶圓均勻性值。
在某些實施例中,多個計量系統與程序工具整合在一起且計量系統經組態以在程序期間同時量測跨越晶圓之不同區。在某些實施例中,與一程序工具整合在一起之一單個計量系統經組態以在程序期間順序地量測一晶圓之多個不同區。
在某些實施例中,如本文中所闡述之用於半導體裝置之基於SAXS之計量之方法及系統適用於記憶體結構之量測。此等實施例達成週期性及平面結構之臨界尺寸(CD)、膜及組合物計量。
可使用如本文中所闡述之散射測量術量測來判定各種半導體結構之特性。例示性結構包含但不限於FinFET、低尺寸結構(諸如奈米線或石墨烯)、小於10nm之結構、微影結構、穿基板通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、快閃、MRAM)及高縱橫比記憶體結構。例示性結構特性包含但不限於幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔大小、孔密度、側壁角度、輪廓、臨界尺寸、節距、厚度、疊對)及材料參數(諸如電子密度、組合物、顆粒結構、形態、應力、應變及元素識別)。在某些實施例中,該計量目標係一週期性結構。在某些其他實施例中,該計量目標係非週期性的。
在某些實例中,藉助如本文中所闡述之T-SAXS量測系統執行高縱橫比半導體結構之臨界尺寸、厚度、疊對及材料性質之量測,該等高縱橫比半導體結構包含但不限於自旋轉矩隨機存取記憶體(STT- RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維快閃記憶體(3D快閃)、電阻式隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。
在某些實例中,量測模型經實施為可自美國加利福尼亞州苗必達市之KLA-Tencor公司購得之一SpectraShape®臨界尺寸計量系統之一元件。以此方式,模型經創建且準備好在由系統收集散射影像之後立即使用。
在某些其他實例中,量測模型係(舉例而言)由實施可自美國加利福尼亞州苗必達市之KLA-Tencor公司購得之AcuShape®軟體之一運算系統離線實施。可併入所得模型作為可由執行量測之一計量系統存取之一AcuShape®庫之一要素。
圖13圖解說明至少一項新穎態樣中之執行計量量測之一方法300。方法300適合用於由諸如本發明之圖1及圖12中所圖解說明之SAXS計量系統之一計量系統實施。在一項態樣中,認識到,方法300之資料處理方塊可經由一經預程式化演算法執行,該經預程式化演算法由運算系統130、運算系統230或任何其他一般用途運算系統之一或多個處理器執行。在本文中認識到,圖1及圖12中所繪示之計量系統之特定結構態樣不表示限制且不應解釋為僅係說明性的。
在方塊301中,將一定量之x射線照射光提供至在一量測區內安置於一半導體晶圓上之一或多個結構。
在方塊302中,回應於該一定量之x射線照射光而偵測自該半導體晶圓反射或透射穿過該半導體晶圓之一定量之x射線光。
在方塊303中,產生複數個輸出信號。該等輸出信號指示 自該一或多個結構之一經量測散射回應。
在方塊304中,將該一或多個結構分解成複數個子結構,將該量測區分解成複數個子區,或兩者。
在方塊305中,產生與該複數個子結構中之每一者、該複數個子區中之每一者或兩者相關聯之一結構模型。
在方塊306中,獨立地產生與該等結構模型中之每一者相關聯之一經模擬散射回應。
在方塊307中,組合該等經模擬散射回應以產生一組合經模擬散射回應。
在方塊308中,基於該組合經模擬散射回應及該經量測散射回應而判定與該一或多個結構相關聯之一或多個所關注參數之值。
在一額外實施例中,系統100包含一或多個運算系統130,運算系統130用以基於根據本文中所闡述之方法收集之散射測量術量測資料而執行半導體結構之量測。一或多個運算系統130可通信地耦合至一或多個偵測器、主動光學元件、程序控制器等。在一項態樣中,一或多個運算系統130經組態以接收與晶圓101之結構之散射測量術量測相關聯之量測資料。
應認識到,可由一單電腦系統130或(另一選擇係)一多電腦系統130執行本發明通篇所闡述之一或多個步驟。此外,系統100之不同子系統可包含適合於執行本文中所闡述之步驟之至少一部分之一電腦系統。因此,前述說明不應解釋為對本發明之一限制而僅為一圖解說明。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦合至光譜儀。舉例而言,一或多個運算系統130可耦合至與光譜儀相 關聯之運算系統。在另一實例中,散射計可由耦合至電腦系統130之一單電腦系統直接控制。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,光譜儀及諸如此類)接收及/或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100之其他子系統之間的一資料鏈路。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上系統100、外部記憶體或其他外部系統)之間的一資料鏈路。舉例而言,運算系統130可經組態以經由一資料鏈路自一儲存媒體(亦即,記憶體132或一外部記憶體)接收量測資料。舉例而言,使用本文中所闡述之光譜儀獲得之散射影像可儲存於一永久性或半永久性記憶體裝置(例如,記憶體132或一外部記憶體)中。就此而言,該等散射測量術影像可係自板上記憶體或自一外部記憶體系統導入。此外,電腦系統130可經由一傳輸媒體將資料發送至其他系統。舉例而言,由電腦系統130判定之一量測模型或一經估計參數值可經傳遞並儲存於一外部記憶體中。就此而言,量測結果可傳出至另一系統。
運算系統130可包含但不限於一個人電腦系統、大型電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可經廣義地定義以囊括具有執行來自一記憶體媒體之指令之一或多個處理器之任一裝置
實施諸如本文中所闡述之彼等方法之方法之程式指令134 可經由一傳輸媒體(諸如一導線、電纜或無線傳輸鏈路)傳輸。舉例而言,如圖1中所圖解說明,儲存於記憶體132中之程式指令134經由匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀取媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟,或一磁帶。包含元件231至234之運算系統230類似於分別包含元件131至134之運算系統130,如本文中所闡述。
如本文中所闡述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等)、任何兩個或兩個以上結構之間的一臨界尺寸(例如,兩個結構之間的距離)及兩個或兩個以上結構之間的一位移(例如,疊對光柵結構之間的疊對位移等)結構可包含三維結構、經圖案化結構、疊對結構等。
如本文中所闡述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中所闡述,術語「計量系統」包含至少部分地用以表徵任一態樣(包含諸如臨界尺寸計量、疊對計量、焦點/劑量計量及組合物計量之量測應用)中之一樣品之任一系統。然而,此等技術術語並不限制如本文中所闡述之術語「計量系統」之範疇。另外,計量系統可經組態以用於經圖案化晶圓及/或未經圖案化晶圓之量測。計量系統可經組態為一LED檢驗工具、邊緣檢驗工具、背面檢驗工具、宏觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平臺之資料),以及自基於臨界尺寸資料校準系統參數獲益之任何其他計量或檢驗工具。
在本文中闡述可用於在任一半導體處理工具內量測一樣品之一半導體量測系統之各種實施例(例如,一檢驗系統或一微影系統)。術 語「樣品」在本文中用於係指一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢驗缺陷)之任何其他樣本。
如本文中所使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。此等基板通常可存在於半導體製作設施中及/或在其中處理。在某些情形中,一晶圓可僅包含基板(即,裸晶圓)。或者,一晶圓可包含形成於一基板上之一個或多個不同材料層。形成於一晶圓上之一或多個層可為「經圖案化」或「未圖案化」的。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可係在一倍縮光罩製作程序之任何階段處之一倍縮光罩或者可或可不釋放以供在一半導體製作設施中使用之一完成倍縮光罩。一倍縮光罩或一「遮罩」一般定義為具有在其上形成且組態成一圖案之實質上不透明區域之一實質上透明基板。基板可包含(舉例而言)諸如非晶SiO2之一玻璃材料。一倍縮光罩可在一微影程序之一曝光步驟期間安置於一抗蝕劑覆蓋之晶圓上面,使得可將該倍縮光罩上之圖案轉印至該抗蝕劑。
形成於一晶圓上之一或多個層可為經圖案化或未圖案化的。舉例而言,一晶圓可包含各自具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理可最終產生所完成之裝置。可在一晶圓上形成諸多不同類型之裝置,且如本文中所使用之術語晶圓意欲涵蓋上面製作有此項技術中已知之任何類型之裝置的一晶圓。
在一或多項例示性實施例中,所闡述之功能可以硬體、軟體、韌體或其任何組合實施。若以軟體實施,則該等功能可作為一或多個 指令或代碼儲存於一電腦可讀媒體上或者經由一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進將一電腦程式自一個地方傳送至另一地方之任何媒體。一儲存媒體可係可由一個一般用途或特殊用途電腦存取之任何可用媒體。藉由實例而非限制方式,此類電腦可讀媒體可包括:RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置或者可用於以指令或資料結構之形式載運或儲存所要程式碼構件且可由一個一般用途或特殊用途電腦或者一個一般用途或特殊用途處理器存取之任何其他媒體。並且,可將任何連接恰當地稱為一電腦可讀媒體。舉例而言,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則該同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)皆包含於媒體之定義內。如本文中所使用,磁碟及光碟包含:壓縮光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)、軟碟及藍光碟,其中磁碟通常以磁性方式再現資料,而光碟藉助雷射以光學方式再現資料。上文之組合亦應包含於電腦可讀取媒體之範疇內。
儘管在上文中出於指導性目的而闡述了特定具體實施例,但本專利文件之教示內容具有一般適用性且不限於上文所闡述之具體實施例。因此,可在不背離如申請專利範圍中所陳述之本發明之範疇之情況下實踐對所闡述之實施例之各種特徵之各種修改、改動及組合。
160:量測分解引擎
161:結構分解模組
1621:結構模型
1622:結構模型
162N:結構模型
1631:回應模組
1632:回應模組
163N:回應模組
1641:散射回應
1642:散射回應
164N:散射回應
165:信號重組模組
166:經模型化強度
190:記憶體

Claims (20)

  1. 一種基於x射線散射測量術之計量系統,其包括:一x射線照射源,其經組態以提供經引導至在一量測區內安置於一半導體晶圓上之一或多個結構之一定量之x射線照射光;一偵測器,其經組態以回應於該一定量之x射線照射光而偵測自該半導體晶圓反射或透射穿過該半導體晶圓之一定量之x射線光且產生指示自該一或多個結構之一經量測散射回應之複數個輸出信號;及一運算系統,其經組態以:將該一或多個結構分解成複數個子結構,將該量測區分解成複數個子區,或進行該兩個操作;產生與該複數個子結構中之每一者相關聯之一結構模型,產生與該複數個子區中之每一者相關聯之一結構模型,或進行該兩個操作;獨立地產生與該等結構模型中之每一者相關聯之一經模擬散射回應;組合該等經模擬散射回應中之每一者以產生一組合經模擬散射回應;且基於該組合經模擬散射回應及該經量測散射回應而判定與該一或多個結構相關聯之一或多個所關注參數之值。
  2. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子結構包含具相同週期性之不同週期性形狀。
  3. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子結構包含具有不同週期性之不同週期性形狀。
  4. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子結構包含以一幾乎週期性方式重複多次之一形狀。
  5. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子結構包含具有一相對小週期之一第一結構及具有係該小週期之一整數倍數之一相對大週期之一第二結構。
  6. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子區中之每一者與一單個結構或該單個結構被分解成之複數個子結構相關聯。
  7. 如請求項1之基於x射線散射測量術之計量系統,其中該複數個子區中之每一者對該偵測器處之該組合經模擬散射回應之強度之一貢獻與每一子區之一面積成比例地縮放。
  8. 如請求項1之基於x射線散射測量術之計量系統,其中該產生與該等結構模型中之每一者相關聯之該經模擬散射回應涉及使用一電磁模型化解算器對與每一結構模型相關聯之一散射場之一運算。
  9. 如請求項8之基於x射線散射測量術之計量系統,其中該產生與該等 結構模型中之每一者相關聯之該經模擬散射回應涉及透過一系統模型傳播該散射場以在該偵測器處達成與每一結構模型相關聯之該等經模擬散射回應。
  10. 如請求項1之基於x射線散射測量術之計量系統,其中該產生該組合經模擬散射回應涉及以同調方式、以非同調方式或其一組合方式組合該等經模擬散射回應中之每一者。
  11. 如請求項1之基於x射線散射測量術之計量系統,其中該運算系統進一步經組態以:將該一或多個所關注參數之該等值之一指示傳遞至一製作工具,該指示致使該製作工具調整該製作工具之一或多個程序控制參數之一值。
  12. 如請求項1之基於x射線散射測量術之計量系統,其中以複數個入射角、方位角或兩者將該一定量之x射線照射光引導至量測光點。
  13. 如請求項1之基於x射線散射測量術之計量系統,其中該x射線照射源進一步經組態而以複數個不同能階提供經引導至一量測光點之該一定量之x射線照射光。
  14. 如請求項1之基於x射線散射測量術之計量系統,其中該判定該一或多個所關注參數之該等值基於一基於模型之量測模型、一經訓練信號回應計量(SRM)量測模型或一斷層攝影量測模型。
  15. 如請求項1之基於x射線散射測量術之計量系統,其中該一或多個結構包含一個三維NAND結構或一動態隨機存取記憶體(DRAM)結構。
  16. 如請求項1之基於x射線散射測量術之計量系統,其中該一或多個結構包含至少一個所關注結構及至少一個附帶結構,且其中該組合經模擬散射回應包含來自該至少一個所關注結構及該至少一個附帶結構之經模型化貢獻。
  17. 如請求項1之基於x射線散射測量術之計量系統,其中該一或多個結構包含至少一個所關注結構及至少一個附帶結構,該運算系統進一步經組態以:對該經量測散射回應進行篩選以減少來自該至少一個附帶結構之一貢獻,其中該判定與該至少一個所關注結構相關聯之該一或多個所關注參數之該等值基於該經篩選經量測散射回應。
  18. 一種基於x射線散射測量術之計量系統,其包括:一x射線照射源,其經組態以提供經引導至在一量測區內安置於一半導體晶圓上之一或多個結構之一定量之x射線照射光;一偵測器,其經組態以回應於該一定量之x射線照射光而偵測自該半導體晶圓反射或透射穿過該半導體晶圓之一定量之x射線光且產生指示自該一或多個結構之一經量測散射回應之複數個輸出信號;及一非暫時性電腦可讀媒體,其包括在由一或多個處理器執行時致使 該一或多個處理器進行以下操作之指令:將該一或多個結構分解成複數個子結構,將該量測區分解成複數個子區,或進行該兩個操作;產生與該複數個子結構中之每一者相關聯之一結構模型,產生與該複數個子區中之每一者相關聯之一結構模型,或進行該兩個操作;獨立地產生與該等結構模型中之每一者相關聯之一經模擬散射回應;組合該等經模擬散射回應中之每一者以產生一組合經模擬散射回應;及基於該組合經模擬散射回應及該經量測散射回應而判定與該一或多個結構相關聯之一或多個所關注參數之值。
  19. 一種量測半導體結構之方法,其包括:提供經引導至在一量測區內安置於一半導體晶圓上之一或多個結構之一定量之x射線照射光;回應於該一定量之x射線照射光而偵測自該半導體晶圓反射或透射穿過該半導體晶圓之一定量之x射線光;產生指示自該一或多個結構之一經量測散射回應之複數個輸出信號;將該一或多個結構分解成複數個子結構,將該量測區分解成複數個子區,或進行該兩個操作;產生與該複數個子結構中之每一者相關聯之一結構模型,產生與該 複數個子區中之每一者相關聯之一結構模型,或進行該兩個操作;獨立地產生與該等結構模型中之每一者相關聯之一經模擬散射回應;組合該等經模擬散射回應中之每一者以產生一組合經模擬散射回應;及基於該組合經模擬散射回應及該經量測散射回應而判定與該一或多個結構相關聯之一或多個所關注參數之值。
  20. 如請求項19之量測半導體結構之方法,其進一步包括:將該一或多個所關注參數之該等值之一指示傳遞至一製作工具,該指示致使該製作工具調整該製作工具之一或多個程序控制參數之一值。
TW107128302A 2017-08-14 2018-08-14 基於x射線散射測量術之計量系統及量測半導體結構之方法 TWI769292B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762544911P 2017-08-14 2017-08-14
US62/544,911 2017-08-14
US16/101,521 2018-08-13
US16/101,521 US10983227B2 (en) 2017-08-14 2018-08-13 On-device metrology using target decomposition

Publications (2)

Publication Number Publication Date
TW201925766A TW201925766A (zh) 2019-07-01
TWI769292B true TWI769292B (zh) 2022-07-01

Family

ID=65275036

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128302A TWI769292B (zh) 2017-08-14 2018-08-14 基於x射線散射測量術之計量系統及量測半導體結構之方法

Country Status (7)

Country Link
US (1) US10983227B2 (zh)
JP (1) JP7033192B2 (zh)
KR (1) KR102381154B1 (zh)
CN (1) CN111052329B (zh)
IL (1) IL272162B2 (zh)
TW (1) TWI769292B (zh)
WO (1) WO2019036512A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11703464B2 (en) * 2018-07-28 2023-07-18 Bruker Technologies Ltd. Small-angle x-ray scatterometry
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
CN110673194B (zh) * 2019-10-28 2021-04-20 上海联影医疗科技股份有限公司 康普顿散射序列恢复方法、装置、设备及介质
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
JP7221536B2 (ja) * 2019-12-27 2023-02-14 株式会社リガク 散乱測定解析方法、散乱測定解析装置、及び散乱測定解析プログラム
US11143604B1 (en) * 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
WO2021229030A1 (en) * 2020-05-14 2021-11-18 Asml Netherlands B.V. Method for predicting stochastic contributors
JP7458935B2 (ja) 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
US20230384237A1 (en) * 2022-05-31 2023-11-30 Kla Corporation Universal metrology model
EP4339602A1 (en) * 2022-09-14 2024-03-20 Xenocs SAS Method of performing machine learning of a small angle x-ray scattering measurement data analysis model

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201546569A (zh) * 2014-05-13 2015-12-16 Asml Netherlands Bv 於度量衡中使用之基板及圖案化器件、度量衡方法及器件製造方法
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20160351370A1 (en) * 2013-09-19 2016-12-01 Sigray, Inc. Diverging x-ray sources using linear accumulation

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7713145B2 (en) * 2008-01-10 2010-05-11 Acushnet Company Multi-layer core golf ball
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US7886951B2 (en) 2008-11-24 2011-02-15 Tyco Healthcare Group Lp Pouch used to deliver medication when ruptured
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8908830B2 (en) * 2009-04-14 2014-12-09 Rigaku Corporation Surface microstructure measurement method, surface microstructure measurement data analysis method and X-ray scattering measurement device
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US9311431B2 (en) 2011-11-03 2016-04-12 Kla-Tencor Corporation Secondary target design for optical measurements
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10215559B2 (en) 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10380728B2 (en) 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160351370A1 (en) * 2013-09-19 2016-12-01 Sigray, Inc. Diverging x-ray sources using linear accumulation
TW201546569A (zh) * 2014-05-13 2015-12-16 Asml Netherlands Bv 於度量衡中使用之基板及圖案化器件、度量衡方法及器件製造方法
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Also Published As

Publication number Publication date
CN111052329B (zh) 2023-08-25
JP2020530942A (ja) 2020-10-29
TW201925766A (zh) 2019-07-01
CN111052329A (zh) 2020-04-21
WO2019036512A1 (en) 2019-02-21
KR102381154B1 (ko) 2022-03-30
IL272162A (en) 2020-03-31
IL272162B2 (en) 2023-02-01
JP7033192B2 (ja) 2022-03-09
KR20200032249A (ko) 2020-03-25
IL272162B (en) 2022-10-01
US10983227B2 (en) 2021-04-20
US20190049602A1 (en) 2019-02-14

Similar Documents

Publication Publication Date Title
TWI769292B (zh) 基於x射線散射測量術之計量系統及量測半導體結構之方法
TWI758201B (zh) 基於小角度x射線散射量測之計量系統之校準
JP7376666B2 (ja) 透過型小角x線散乱計量システム
JP7250705B2 (ja) X線スキャトロメトリでの深層構造のプロセスモニタリング
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI649536B (zh) 用於以散射術量測為基礎之成像及關鍵尺寸度量之度量方法、度量系統及非暫時性電腦可讀媒體
JP7133030B2 (ja) X線依拠計測システムの校正及びアライメント用多層ターゲット
IL265745B2 (en) Complete beam metrology for X-ray scattering measurement systems
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
CN114981686A (zh) 基于软性x射线散射测量的叠对测量方法及系统