DE112015004553T5 - Säulen-Anordnungs-Struktur mit gleichmäßigen Nanometer-Zwischenräumen mit einem hohen Aspekt-Verhältnis - Google Patents

Säulen-Anordnungs-Struktur mit gleichmäßigen Nanometer-Zwischenräumen mit einem hohen Aspekt-Verhältnis Download PDF

Info

Publication number
DE112015004553T5
DE112015004553T5 DE112015004553.8T DE112015004553T DE112015004553T5 DE 112015004553 T5 DE112015004553 T5 DE 112015004553T5 DE 112015004553 T DE112015004553 T DE 112015004553T DE 112015004553 T5 DE112015004553 T5 DE 112015004553T5
Authority
DE
Germany
Prior art keywords
nano
columns
gap
array
dimension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112015004553.8T
Other languages
English (en)
Inventor
Joshua Thomas Smith
Robert Lawson Bruce
Yann Andre Astier
Benjamin Hardy Wunsch
Chao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112015004553T5 publication Critical patent/DE112015004553T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/50Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing
    • G01N33/68Chemical analysis of biological material, e.g. blood, urine; Testing involving biospecific ligand binding methods; Immunological testing involving proteins, peptides or amino acids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502707Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the manufacture of the container or its components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502715Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by interfacing components, e.g. fluidic, electrical, optical or mechanical interfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/502753Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by bulk separation arrangements on lab-on-a-chip devices, e.g. for filtration or centrifugation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B03SEPARATION OF SOLID MATERIALS USING LIQUIDS OR USING PNEUMATIC TABLES OR JIGS; MAGNETIC OR ELECTROSTATIC SEPARATION OF SOLID MATERIALS FROM SOLID MATERIALS OR FLUIDS; SEPARATION BY HIGH-VOLTAGE ELECTRIC FIELDS
    • B03BSEPARATING SOLID MATERIALS USING LIQUIDS OR USING PNEUMATIC TABLES OR JIGS
    • B03B5/00Washing granular, powdered or lumpy materials; Wet separating
    • B03B5/48Washing granular, powdered or lumpy materials; Wet separating by mechanical classifiers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B1/00Devices without movable or flexible elements, e.g. microcapillary devices
    • B81B1/006Microdevices formed as a single homogeneous piece, i.e. wherein the mechanical function is obtained by the use of the device, e.g. cutters
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00111Tips, pillars, i.e. raised structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • B82B3/0009Forming specific nanostructures
    • B82B3/0014Array or network of similar nanostructural elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2037Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/06Fluid handling related problems
    • B01L2200/0647Handling flowable solids, e.g. microscopic beads, cells, particles
    • B01L2200/0652Sorting or classification of particles or molecules
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/12Specific details about manufacturing devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0809Geometry, shape and general structure rectangular shaped
    • B01L2300/0816Cards, e.g. flat sample carriers usually with flow in two horizontal directions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0861Configuration of multiple channels and/or chambers in a single devices
    • B01L2300/0864Configuration of multiple channels and/or chambers in a single devices comprising only one inlet and multiple receiving wells, e.g. for separation, splitting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/12Specific details about materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2400/00Moving or stopping fluids
    • B01L2400/08Regulating or influencing the flow resistance
    • B01L2400/084Passive control of flow resistance
    • B01L2400/086Passive control of flow resistance using baffles or other fixed flow obstructions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/058Microfluidics not provided for in B81B2201/051 - B81B2201/054
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0361Tips, pillars
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/01Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials specially adapted for biological cells, e.g. blood cells
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • G01N2015/1006Investigating individual particles for cytology
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • G01N2015/1028Sorting particles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume or surface-area of porous materials
    • G01N15/10Investigating individual particles
    • G01N2015/1029Particle size

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Dispersion Chemistry (AREA)
  • Hematology (AREA)
  • Clinical Laboratory Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Molecular Biology (AREA)
  • Immunology (AREA)
  • Manufacturing & Machinery (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Biomedical Technology (AREA)
  • Urology & Nephrology (AREA)
  • Computer Hardware Design (AREA)
  • Microbiology (AREA)
  • Proteomics, Peptides & Aminoacids (AREA)
  • Cell Biology (AREA)
  • Medicinal Chemistry (AREA)
  • Biotechnology (AREA)
  • Food Science & Technology (AREA)
  • Apparatus Associated With Microorganisms And Enzymes (AREA)
  • Micromachines (AREA)
  • Separation Of Solids By Using Liquids Or Pneumatic Power (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Fluid Mechanics (AREA)

Abstract

Es wird eine Technik in Bezug auf ein Sortieren von Entitäten bereitgestellt. Ein Einlass ist so konfiguriert, dass er ein Fluid aufnimmt, und ein Auslass ist so konfiguriert, dass das Fluid austritt. Eine Anordnung von Nano-Säulen, die mit dem Einlass und mit dem Auslass verbunden ist, ist so konfiguriert, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen. Die Anordnung von Nano-Säulen beinhaltet Nano-Säulen, die so angeordnet sind, dass sie Entitäten der Größe nach separieren. Die Nano-Säulen sind so angeordnet, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert. Der Zwischenraum ist so gestaltet, dass er in der Größenordnung von Nanometern liegt.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung bezieht sich auf eine Säulen-Anordnungs-Struktur und spezifischer auf das Separieren biologischer Entitäten unter Verwendung der Säulen-Anordnungs-Struktur.
  • Die Separation und das Sortieren von biologischen Entitäten, wie beispielsweise Zellen, Proteinen, Desoxyribonukleinsäure (DNA), Ribonukleinsäure (RNA) etc. ist für eine grolle Anzahl von biomedizinischen Anwendungen von Bedeutung, die Diagnostik, Therapeutik, Zellbiologie sowie Proteomik beinhalten.
  • Eine Protein- und DNA/RNA-Separation für analytische Zwecke wird herkömmlicherweise mittels einer Gel-Elektrophorese durchgeführt, bei der ein Protein-Mix einem starken elektrischen Feld unterworfen wird (typischerweise 30 Volt pro Zentimeter (V/cm)). Proteine oder DNA/RNA bewegen sich mit einer Rate durch das Gel, die von deren Abmessung und Oberflächenladung abhängig ist. Die Gele werden aus Agarose oder Acrylamid-Polymeren hergestellt, von denen bekannt ist, dass sie toxisch sind. Das Resultat des Elektrophorese-Experiments ergibt sich optisch aus einer Einfärbung der Proteine mit einem Farbstoff oder aus einer Einfärbung der DNA/RNA mit Ethidium-Bromid, das extrem karzinogen ist. Gele erfordern ausreichende Mengen an Material, damit das Resultat der Elektrophorese nachweisbar ist, eine schlechte Vernetzung in der Gel-Matrix führt jedoch häufig zu nicht beweiskräftigen Ergebnissen und dem vollständigen Verlust der Proben. Wenn die Abmessung der Gel-Matrix nicht an die Abmessung der Proben-Moleküle angepasst ist oder wenn man die Elektrophorese zu lange laufen lässt, geht die Probe ebenfalls verloren.
  • Die Gel-Elektrophorese wird verbreitet für eine Separation von Makromolekülen eingesetzt, wie beispielsweise von DNA, RNA, Proteinen und deren Fragmenten. Die Gel-Elektrophorese verfügt gegenwärtig über einen Markt mit weltweiten Umsätzen von mehr als $ 1 Milliarde Dollar pro Jahr. Die Gel-Elektrophorese, die in der medizinischen Diagnostik angewendet wird, repräsentiert einen Markt von vielen Milliarden Dollar.
  • Im Vergleich zu herkömmlichen Techniken bietet die Silicium(Si)-Nanofertigungs-Technologie eine viel präzisere und genauere Steuerung bei nano-strukturellen Dimensionen und einer Positionierung derselben und kann so zu einem zuverlässigen Sortieren von Partikeln auf der Grundlage von deren Abmessungen führen. Bis heute waren Lab-on-a-Chip-Vorgehensweisen auf der Grundlage von Si vielversprechend, die Anordnungen von Si-Säulen verwenden. Unter Verwendung dieser Techniken wurde lediglich ein Sortieren im Mikrometer-Bereich (106 oder Mikrometer(μm)-Bereich) dokumentiert, wodurch jedoch kein Zugang zu den Nanometer-Dimensionen erhalten wird, die für ein Sortieren von DNA, Proteinen etc. erforderlich sind.
  • KURZDARSTELLUNG
  • Gemäß einer Ausführungsform wird eine Vorrichtung bereitgestellt. Die Vorrichtung beinhaltet einen Einlass, der so konfiguriert ist, dass er ein Fluid aufnimmt, einen Auslass, der so konfiguriert ist, dass das Fluid austritt, sowie eine Anordnung von Nano-Säulen, die mit dem Einlass und mit dem Auslass verbunden ist. Die Anordnung von Nano-Säulen ist so konfiguriert, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen. Die Anordnung von Nano-Säulen beinhaltet Nano-Säulen, die so angeordnet sind, dass Entitäten der Größe nach separiert werden. Die Nano-Säulen sind so angeordnet, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert. Der Zwischenraum ist so gestaltet, dass er in der Größenordnung von Nanometern liegt.
  • Gemäß einer Ausführungsform wird ein Verfahren für die Bereitstellung einer Fluid-Vorrichtung bereitgestellt. Das Verfahren beinhaltet ein Bereitstellen eines Einlasses, der so konfiguriert ist, dass er ein Fluid aufnimmt, ein Bereitstellen eines Auslasses, der so konfiguriert ist, dass das Fluid austritt, sowie ein Koppeln einer Anordnung von Nano-Säulen mit dem Einlass und mit dem Auslass. Die Anordnung von Nano-Säulen ist so konfiguriert, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen. Die Anordnung von Nano-Säulen beinhaltet Nano-Säulen, die so angeordnet sind, dass Entitäten der Größe nach separiert werden. Die Nano-Säulen sind so angeordnet, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert. Der Zwischenraum ist so gestaltet, dass er in der Größenordnung von Nanometern liegt.
  • Gemäß einer Ausführungsform wird ein Verfahren für ein Bilden einer Anordnung von Nano-Säulen bereitgestellt. Das Verfahren beinhaltet ein Anordnen einer Hartmasken-Schicht auf einem Substrat sowie ein Strukturieren einer Resist-Schicht zu einer Struktur der Anordnung von Nano-Säulen, wobei die Resist-Schicht auf der Hartmasken-Schicht angeordnet wurde. Die Resist-Schicht wird dazu verwendet, die Hartmasken-Schicht zu der Struktur der Anordnung von Nano-Säulen derart zu strukturieren, dass sowohl die Resist-Schicht als auch die Hartmasken-Schicht die Struktur der Anordnung von Nano-Säulen aufweisen. Das Substrat wird zu der Struktur der Anordnung von Nano-Säulen derart strukturiert, dass die Anordnung von Nano-Säulen gebildet wird. Die Resist-Schicht und die Hartmasken-Schicht werden entfernt, und Nano-Säulen in der Anordnung von Nano-Säulen weisen in einer Seite-an-Seite-Anordnungsbeziehung relativ zueinander eine erste Zwischenraum-Abmessung auf. Die erste Zwischenraum-Abmessung wird durch Anordnen einer Oxid-Schicht auf der Anordnung von Nano-Säulen auf eine zweite Zwischenraum-Abmessung verringert.
  • Gemäß einer Ausführungsform wird eine Vorrichtung bereitgestellt. Die Vorrichtung beinhaltet einen Einlass, der so konfiguriert ist, dass er ein Fluid aufnimmt, einen Auslass, der so konfiguriert ist, dass das Fluid austritt, sowie eine Anordnung von Nano-Säulen, die mit dem Einlass und mit dem Auslass verbunden ist. Die Anordnung von Nano-Säulen ist so konfiguriert, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen. Die Anordnung von Nano-Säulen beinhaltet Nano-Säulen, die so angeordnet sind, dass Entitäten der Größe nach separiert werden. Die Nano-Säulen sind so angeordnet, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert. Der Zwischenraum ist so gestaltet, dass er in der Größenordnung von Nanometern siegt. An den Nano-Säulen ist eine monomolekulare Schicht angebracht, und die monomolekulare Schicht verringert eine Abmessung des Zwischenraums.
  • Durch die Techniken der vorliegenden Erfindung werden weitere Merkmale und Vorteile realisiert. Weitere Ausführungsformen und Aspekte der Erfindung sind hierin detailliert beschrieben und werden als ein Teil der beanspruchten Erfindung betrachtet. Für ein besseres Verständnis der Erfindung mit den Vorteilen und Merkmalen siehe die Beschreibung und die Zeichnungen.
  • KURZBESCHREIBUNG DER MEHREREN ANSICHTEN DER ZEICHNUNGEN
  • Der Gegenstand, der als die Erfindung angesehen wird, wird in den Ansprüchen am Ende der Beschreibung besonders aufgezeigt und eindeutig beansprucht. Das Vorstehende und weitere Merkmale und Vorteile der Erfindung sind aus der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen ersichtlich, in denen:
  • 1 eine schematische Darstellung einer Anordnung mit einer deterministischen lateralen Verschiebung (DLD, Deterministic Lateral Displacement) ist, die Definitionen der Parameter der Anordnung zeigt;
  • 2A eine schematische Darstellung von Partikel-Trajektorien an der Grenzfläche zwischen einem neutralen Bereich und einem Element aus einem Mikrofluid-Metamaterial zeigt;
  • 2B das einfachste Element aus einem Metamaterial darstellt, das aus einer asymmetrischen Anordnung von Ständern besteht, die unter einem Winkel +α relativ zu den Kanalwänden und einem Haupt-Fluidstrom geneigt sind;
  • 2C eine Querschnitts-REM-Aufnahme darstellt, welche die Anordnung von mikrogefertigten Ständern zeigt;
  • 2D eine äquivalente Mikrofluid-Doppelbrechung auf der Grundlage einer Partikel-Abmessung darstellt, welche die Time-Trace einer rot fluoreszierenden 2,7-μm-Substanz zeigt, welche die Grenzfläche durchquert und von der Normalen abgelenkt wird;
  • 3A bis 3G Schemata eines Prozessablaufs für die Fertigung einer Anordnung von Nano-Säulen gemäß einer Ausführungsform darstellen, in denen:
  • 3A eine Hartmasken-Schicht darstellt, die auf einem Substrat angeordnet ist;
  • 3B ein Anordnen einer Resist-Schicht auf der Hartmasken-Schicht darstellt;
  • 3C ein Strukturieren der Resist-Schicht darstellt;
  • 3D ein Strukturieren der Hartmasken-Schicht darstellt;
  • 3E ein Ätzen des Substrats zu der Anordnung von Säulen darstellt;
  • 3F die Anordnung von Säulen darstellt, wobei die Hartmasken-Struktur entfernt ist; und
  • 3G ein Anordnen einer Oxid-Schicht auf der Anordnung von Säulen darstellt;
  • 4A und 4B Rasterelektronenmikroskop-Aufnahmen des gleichen Wafers gemäß einer Ausführungsform sind, um das Ergebnis eines reaktiven Ionenätz-Vorgangs dazustellen, bevor die Hartmasken entfernt sind;
  • 4C und 4D Rasterelektronenmikroskop-Aufnahmen eines parallel verarbeiteten Wafers gemäß einer Ausführungsform sind, um das Ergebnis eines reaktiven Ionenätz-Vorgangs darzustellen, nachdem die Hartmasken entfernt sind;
  • 5A und 5B Rasterelektronenmikroskop-Aufnahmen eines weiteren Wafers gemäß einer Ausführungsform sind, um eine gefertigte Anordnung von Nano-Säulen ohne ein thermisches Oxid darzustellen;
  • 5C, 5D und 5E Rasterelektronenmikroskop-Aufnahmen eines parallel verarbeiteten Wafers gemäß einer Ausführungsform sind, um den Einfluss des Aufwachsens eines thermischen Oxids auf Anordnungen von Nano-Säulen darzustellen;
  • 6A und 6B Rasterelektronenmikroskop-Aufnahmen eines weiteren Wafers gemäß einer Ausführungsform sind, um ein Starten mit einer geringeren Zwischenraum-Abmessung darzustellen;
  • 6C und 6D Rasterelektronenmikroskop-Aufnahmen eines parallel verarbeiteten Wafers gemäß einer Ausführungsform sind, um den Oxidations-Prozess darzustellen, wenn die anfängliche Zwischenraum-Abmessung gering ist;
  • 7A ein allgemeines chemisches Schema einer chemischen Modifikation an einer Anordnung von Säulen gemäß einer Ausführungsform für ein Bilden von sortierenden Anordnungsoberflächen veranschaulicht;
  • 7B ein chemisches Schema für eine chemische Modifikation durch Anbringen eines Metalls an einer Anordnung von Säulen gemäß einer Ausführungsform für ein Bilden von sortierenden Anordnungsoberflächen veranschaulicht;
  • 8A bis 8D Querschnittsansichten sind, die eine chemische Modifikation von sortierenden Anordnungen gemäß einer Ausführungsform als ein Mittel zum Modifizieren der Zwischenraum-Abmessung zwischen Säulen veranschaulichen, in denen:
  • 8A die Zwischenraum-Abmessung zwischen Säulen vor einer chemischen Modifikation darstellt;
  • 8B die verringerte Zwischenraum-Abmessung zwischen Säulen nach einer chemischen Modifikation darstellt;
  • 8C eine vergrößerte Ansicht einer reaktiven Stelle in 8A darstellt; und
  • 8D eine vergrößerte Ansicht der monomolekularen Schicht in 8B darstellt;
  • 9A eine Draufsicht ist, die einen Partikelstrom in einer chemisch modifizierten sortierenden Anordnung gemäß einer Ausführungsform mit Partikeln darstellt, die im Vergleich zu Partikeln, die eine Affinität zu der monomolekularen Oberflächenschicht aufweisen, keine Affinität zu der monomolekularen Oberflächenschicht aufweisen;
  • 9B eine vergrößerte Ansicht eines Querschnitts der Nano-Säule, der monomolekularen Schicht und des Partikels mit einer Affinität gemäß einer Ausführungsform ist;
  • 10A eine Querschnittsansicht ist, die Säulen gemäß einer Ausführungsform mit einer Variation des Zwischenraums darstellt;
  • 10B eine Querschnittsansicht ist, die den Oxidations-Prozess gemäß einer Ausführungsform darstellt, der die Variation des Zwischenraums beseitigt;
  • 11 eine Draufsicht ist, die einen Chip (eine Fluid-Einheit) mit der Anordnung von Säulen gemäß einer Ausführungsform darstellt;
  • 12 ein Verfahren für ein Bereitstellen einer Fluid-Vorrichtung (z. B. eines Chips) gemäß einer Ausführungsform ist;
  • 13 ein Verfahren für ein Bilden einer Anordnung von Nano-Säulen gemäß einer Ausführungsform ist.
  • DETAILLIERTE BESCHREIBUNG
  • Es wurde ein Sortieren im Mikrometer(106 μm)-Bereich dokumentiert, wobei Lab-on-a-Chip-Vorgehensweisen auf der Grundlage von Si verwendet wurden. Weitere Informationen in dieser Hinsicht werden ferner in einer Veröffentlichung mit dem Titel ”Hydrodynamic Metamaterials: Microfabricated Arrays To Steer, Refract, And Focus Streams Of Biomaterials” von Keith J. Morton et al. in PNAS 2008, 105 (21), 7434 bis 7438 (veröffentlicht vor einem Druck am 21. Mai 2008) erörtert, die durch einen Verweis hierin aufgenommen ist.
  • Die Veröffentlichung ”Hydrodynamic Metamaterials: Microfabricated Arrays To Steer, Refract, Arid Focus Streams Of Biomaterials” erörtert, dass sich ihr Verständnis von Optik aus einer Betrachtung von Licht als Partikel ergab, die sich in geraden Linien bewegten und in Medien gebrochen wurden, in denen die Geschwindigkeit des Lichts materialabhängig war. Die Veröffentlichung zeigte, dass sich Objekte, die sich in einer laminaren Strömung mit einer hohen Peclet-Zahl durch ein strukturiertes, anisotropes hydrodynamisches Medium bewegen, entlang von Trajektorien bewegen, die Lichtstrahlen in der Optik ähneln. Ein Beispiel ist die periodische mikrogefertigte Anordnung von Ständern, die als die Anordnung mit einer deterministischen lateralen Verschiebung (DLD) bekannt ist, eine Mikrofluid-Partikel-Sortiervorrichtung mit einer hohen Auflösung. Diese Anordnung von Ständern ist asymmetrisch. Jede nachfolgende stromabwärts gelegene Reihe ist relativ zu der vorherigen Reihe verschoben, so dass die Achse der Anordnung einen Winkel α relativ zu den Kanalwänden und der Richtung des Fluidstroms bildet, wie in 1 gezeigt. Während eines Betriebs werden Partikel, deren Abmessungen größer als eine bestimmte kritische Abmessung sind, bei jeder Reihe durch einen Ständer lateral verschoben und folgen in dem sogenannten ”Bumping(Anprall)”-Modus einem deterministischen Pfad durch die Anordnung. Die Trajektorie der anprallenden Partikel folgt dem Winkel α der Achse der Anordnung. Partikel, deren Abmessungen kleiner als die kritische Abmessung sind, folgen den Strömungslinien des Stroms, wobei sie sich in einem periodischen ”Zickzack”-Modus durch die Anordnung von Ständern ”weben”.
  • 1 ist eine schematische Darstellung einer Anordnung mit einer deterministischen lateralen Verschiebung (DLD), die Definitionen der Parameter der Anordnung zeigt. Die Ständer sind mit einem Abstand λ periodisch angeordnet, und jede stromabwärts gelegene Reihe ist zu der vorherigen Reihe um das Maß δ lateral versetzt, wobei die Symmetrie der Anordnung gebrochen wird. Diese Achse der Anordnung bildet einen Winkel α = tan-1(δ/λ) = tan-1(ε) in Bezug auf die Kanalwände und daher in Bezug auf die Richtung des Fluidstroms. Aufgrund der Asymmetrie der Anordnung wird der Fluidstrom in den Zwischenräumen zwischen den Ständern G in 1/ε Spalte unterteilt. Jeder dieser Spalte wiederholt sich alle 1/ε Reihen, so dass der Strom durch die Anordnung im Mittel geradlinig ist. Partikel, welche den Zwischenraum in der Nähe eines Ständers durchqueren, können in eine benachbarte Stromlinie verschoben werden (von einem Spalt 1 zu einem Spalt 2), wenn der Partikel-Radius größer als die Breite des Spalts in dem Zwischenraum ist. Daher werden größere Partikel bei jedem Ständer deterministisch verschoben und wandern unter einem Winkel α zu dem Strom. Kleinere Partikel folgen einfach den Stromlinienpfaden und strömen in der Richtung des Fluidstroms durch die Anordnung.
  • 2A zeigt eine auf der Abmessung beruhende Doppelbrechung von Partikeln, die durch ein hydrodynamisches Medium von kanal-übergreifenden mikrogefertigten Ständern hindurch strömen. Zwei Partikel mit unterschiedlicher Abmessung treffen senkrecht auf eine Grenzfläche zwischen einer symmetrischen Anordnung von Ständern (linke Hälfte des Kanals) und einer asymmetrischen Anordnung von Ständern auf (rechte Hälfte). Ein durch einen Druck angetriebener Fluidstrom durch die Anordnungen hindurch erfolgt von links nach rechts, wobei seine Gesamtrichtung durch den größeren Mikrofluid-Kanal bestimmt ist. 2B zeigt eine schematische Darstellung von Partikel-Trajektorien an der Grenzfläche zwischen einem neutralen Bereich und einem Element aus einem Mikrofluid-Metamaterial. Partikel, deren Abmessungen größer als eine kritische Abmessung sind, folgen der Asymmetrie der Anordnung, während kleinere Partikel dem Fluidstrom folgen. 2B stellt dar, dass das einfachste Element aus einem Metamaterial eine asymmetrische Anordnung von Ständern ist, die unter einem Winkel +α relativ zu den Kanalwänden und dem Haupt-Fluidstrom geneigt sind. Es ist eine Rasterelektronenmikroskop(REM)-Aufnahme in Draufsicht von der Grenzfläche zwischen einer neutralen Anordnung (α = 0°) und einer Anordnung mit einem Anordnungs-Winkel α = 11,3° gezeigt (der Zwischenraum G = 4 μm und das Rastermaß der Ständer λ = 11 μm sind für beide Seiten die gleichen). 2C stellt eine REM-Aufnahme im Querschnitt dar, welche die Anordnung der mikrogefertigten Ständer zeigt. 2D stellt eine äquivalente Mikrofluid-Doppelbrechung auf der Grundlage der Partikel-Abmessung dar, welche die Time-Trace einer rot fluoreszierenden 2,7-μm-Substanz zeigt, welche die Grenzfläche durchquert und aus der Normalen abgelenkt wird. Kleinere grüne 1,1-μm-Kügelchen bei werden an der Grenzfläche nicht abgelenkt.
  • Elemente einer Anordnung können maßgeschneidert werden, um spezifische Partikelabmessungen unter einem Winkel zu dem Strom zu leiten, indem Anordnungen mit Auslegungsparametern hergestellt werden, die in 1 gezeigt sind und die eine Hindernisabmessung D, einen Abstand G zwischen den Ständern sowie ein Rastermaß λ der Ständer beinhalten. Die Asymmetrie ist durch das Ausmaß der Verschiebung δ von Reihe zu Reihe bestimmt und ist durch die Neigung ε = δ/λ charakterisiert. Der definitive Winkel der Anordnung ist dann α = tan–1(ε). Für einen gegebenen Winkel der Anordnung ist die kritische Partikelabmessung für den Bumping-Modus durch das Verhältnis zwischen dem Partikeldurchmesser und dem Abstand oder dem Zwischenraum der Ständer bestimmt. Diese kritische Partikelabmessung wurde zuvor für einen Bereich von Anordnungs-Winkeln zwischen 1,0° und 16° beschrieben. Für eine gegebene Zwischenraum-Abmessung ist die kritische Abmessung für ein Anprallen bei steileren Winkeln größer. Durch Verwenden dieser Auslegungskriterien wurden Ströme von Kügelchen, Zellen und DNA sämtlich für Separations-Anwendungen auf der Grundlage der Abmessung deterministisch bewegt. Für das in 1 angegebene Beispiel, das einen Winkel der Anordnung von 11,3°, einen Zwischenraum G = 4 μm sowie ein Rastermaß λ = 11 μm der Ständer aufweist, beträgt der Grenzwert der Partikelabmessung 2,4 μm. Daher wandern rote 2,7-μm-Kügelchen im Bumping-Modus entlang des Winkels der Achse der Anordnung, und die grünen 1,0-μm-Kügelchen wandern im Zickzack-Modus entlang Stromlinien, wie gezeigt. Die Elemente der Anordnung und jegliche Hilfs-Mikrofluid-Kanäle und -Reservoire werden in Silicium-Wafern gefertigt, indem übliche Mikrofertigungs-Techniken verwendet werden, die Photolithographie und Ätzen beinhalten. Anordnungen können auch in PDMS formgegossen werden, indem eine in einer ähnlichen Weise gefertigte Silicium-Vorlage verwendet wird. Für den Silicium-Ätzvorgang wird ein optimierter reaktiver Tief-Ionenätz-Vorgang (DRIE, Deep Reactive Ion Etch) verwendet, um glatte vertikale Seitenwände zu erhalten, die einen gleichmäßigen Abstand von oben nach unten zwischen Ständern sicherstellen, wie in 2C gezeigt.
  • Anders als beim Stand der Technik sind Ausführungsformen dafür ausgelegt, fertigungsgerechte Anordnungen von Silicium-Säulen mit gleichmäßigen Zwischenräumen zwischen den Säulen (die auch als Ständer bezeichnet werden) mit Abmessungen im Sub-100-Nanometer(nm)-Bereich zu erzeugen. Diese Anordnungen von Säulen können zum Beispiel in einer Konfiguration für eine Bumper-Anordnung, wie vorstehend beschrieben, für das Sortieren und die Separation von biologischen Entitäten bei diesen Abmessungen verwendet werden, wie beispielsweise von DNA, RNA, Exosomen, einzelnen Proteinen und Protein-Komplexen. Insbesondere sind die Anordnungen von Säulen mit einer Oxid-Beschichtung ausgelegt, wie beispielsweise einer SiO2-Beschichtung, die dazu verwendet werden kann, eine Variation in der Zwischenraum-Abmessung entlang der gesamten Achse der Säulen zu ”heilen”. Es werden gleichmäßige Zwischenraum-Abmessungen verwendet, um ein effizientes Sortieren zu erreichen, z. B. um ein Partikel mit 20 nm von einem Partikel mit 10 nm zu sortieren. Dies stellt eine besondere Herausforderung für Zwischenräume im Sub-100-nm-Bereich dar, bei denen es eine inhärente Variation der Zwischenraum-Abmessung gibt, die größer als die Abmessungen der zu sortierenden Partikel ist, die bei dieser Größenordnung durch den reaktiven Ionenätz(RIE)-Prozess begrenzt ist. Dokumentierte sortierende Zwischenräume von Säulen, die im Stand der Technik zu finden sind, weisen Abmessungen im Mikrometer-Bereich auf, und daher kann der Stand der Technik nicht nahe bei dieser in Ausführungsformen offenbarten Feinheit einer Dimension sortieren. Auch für eine Anordnung von Säulen mit einem sehr kleinen Winkel-Rastermaß (das auch als ein Winkel einer Anordnung und als ein kritischer Winkel bezeichnet wird), von z. B. 0,57 Grad, bei dem eine Sortier-Effizienz am höchsten ist, wird nur ein Partikel sortiert, dessen Abmessung größer als 12% der Abmessung des Zwischenraums ist. Daher sind einheitliche Zwischenräume im Nanometer-Bereich erforderlich, um zum Beispiel einen Protein-Komplex zu sortieren. Ein Sortieren von einzelnen Proteinen (z. B. in einem Abmessungsbereich von 1 bis 10 nm) wird herkömmlicherweise unter Verwendung einer Ionenaustausch-Chromatographie oder einer Gel-Elektrophorese durchgeführt, bei denen es sich eher um Load-and-Sort-Techniken als um eine Lösung auf der Grundlage von Si mit einem kontinuierlichen Strom handelt. Das Verfahren des Standes der Technik weist jedoch keine existierende Lösung für ein Sortieren von Entitäten in einer Größenordnung von 10 bis 100 nm auf, die Ausführungsformen stellen jedoch eine Lösung in beiden dieser Bereiche bereit (z. B. dem Bereich von 1 bis 10 nm und dem Bereich von 10 bis 100 nm). Ausführungsformen beinhalten außerdem eine chemische Modifikation der Säuen über eine Anbringung und/oder eine Anlagerung von Molekülen, um einen gegebenen Zwischenraum weiter auf eine maßgeschneiderte Abmessung zu verringern.
  • Zum leichteren Verständnis können bisweilen Unter-Überschriften verwendet werden. Es ist anzumerken, dass die Unter-Überschriften nur den Zwecken einer Erläuterung und nicht einer Beschränkung dienen.
  • Herstellung einer Anordnung von Säulen
  • Die 3A bis 3G stellen Schemata eines Prozessablaufs für die Fertigung einer Anordnung von Nano-Säulen gemäß einer Ausführungsform dar. In 3A stellt ein Prozessablauf 301 ein Substrat 302 dar. Auf der Oberseite des Substrats 302 wird eine Hartmaske 304 angeordnet. Bei dem Substrat 302 kann es sich um einen Wafer handeln, wie beispielsweise um einen Silicium(Si)-Wafer. Die Oxid-Hartmaske 304 kann aus Siliciumdioxid (SiO2) bestehen, das für einen Ätzvorgang verwendet wird. Wenngleich ein Oxid ein Beispiel darstellt, können ein Nitrid oder ein anderes hartes Material verwendet werden. Die Oxid-Hartmaske 304 kann mittels Abscheidung und/oder Aufwachsen auf einem Bulk-Silicium (Substrat 302) angeordnet werden. Die Dicke der Oxid-Hartmaske 304 kann in Abhängigkeit von der Ätz-Tiefe, die für ein Erzeugen der Höhe der Säulen notwendig ist, und der Selektivität des RIE-Chemismus für das Substrat 302 gegenüber dem Material der Hartmaske 304 in einem Bereich von einigen zehn bis mehreren hundert Nanometern liegen. Für das Substrat 302 und die Hartmasken-Schicht 304 können andere Materialien verwendet werden.
  • In 3B stellt der Prozessablauf 303 ein Anordnen eines Resists 306 auf der Oberseite der Oxid-Hartmaske 304 dar. Bei dem Resist 306 kann es sich um ein positives Resist oder ein negatives Resist handeln. Die Dicke des Resists 306 kann in Abhängigkeit von der Ätz-Selektivität des Resists 306/der Hartmaske (304), der Dicke der Hartmaske 304 und der benötigten Zwischenraum-Auflösung der Nano-Säulen in einem Bereich von 100 nm bis 1 μm liegen. Für schmale Zwischenräume im Sub-100-nm-Bereich und geringe Tiefen der Säulen wird ein Bereich der Resist-Dicke von 100 bis 500 nm verwendet, um Elemente mit einer höheren Auflösung mit einer geringeren Variabilität der Zwischenraum-Abmessung zu erreichen. Bei dem Resist 306 kann es sich auch um einen Resist-Stapel aus mehreren Schichten handeln, der aus zwei oder mehr Schichten besteht, die jeweils eine unterschiedliche Ätz-Selektivität aufweisen, um die Auflösung zu verbessern.
  • In 3C stellt der Prozessablauf 305 ein Strukturieren des Resists 306 zu einer Resist-Struktur 308 dar. Die Resist-Struktur 308 kann unter Verwendung von Elektronenstrahl-Lithographie, Nanoimprint-Lithographie, Interferenz-Lithographie, Lithographie im extremen Ultraviolett-Bereich und/oder Lithographie im tiefen Ultraviolett-Bereich oder einer Kombination dieser Techniken definiert werden, ist jedoch nicht auf diese beschränkt. Die Resist-Struktur 308 wird zu Resist-Säulen in der Struktur der zukünftigen Anordnung von Nano-Säulen geformt. In einem Fall kann die Resist-Struktur 308 mehrere Strukturen für verschiedene Anordnungen von Nano-Säulen beinhalten.
  • Der Prozessablauf 307 stellt einen Struktur-Transfer von der Resist-Struktur 308 auf die Oxid-Hartmaske 304 dar, um in der geätzten Hartmasken-Struktur 312 in 3D zu resultieren. Der Struktur-Transfer auf die Hartmaske 304 kann unter Verwendung von reaktivem Ionenätzen (RIE) durchgeführt werden. Der Prozessablauf 307 zeigt die Resist-Struktur 308 auf der Oberseite der entsprechenden geätzten Hartmasken-Struktur 312.
  • In 3E stellt der Prozessablauf 309 ein Strukturieren der Nano-Säulen 314 dar, die in dem Substrat 302 unterhalb der geätzten Hartmasken-Struktur 312 zu definieren sind. Die Nano-Säulen 314 können unter Verwendung von reaktivem Ionenätzen geätzt werden. Die Resist-Struktur 308 kann von der Oberseite der geätzten Hartmasken-Struktur 312 entfernt werden, bevor die Nano-Säulen 314 in dem Substrat 302 strukturiert werden oder nachdem die Nano-Säulen 314 strukturiert wurden. Ein Entfernen der Resist-Struktur 308 kann nach dem Ätzen der Nano-Säulen 314 durchgeführt werden, da es dazu dienen kann, eine Erosion der Hartmasken-Struktur 312 zu vermeiden, die während des RIE-Prozesses der Nano-Säulen 314 auftreten kann. Eine Erosion der Hartmaske kann wiederum zu Säulen mit einem deformierten (unerwünschten) Seitenwand-Winkel führen.
  • Der Prozessablauf 311 in 3F stellt eine Entfernung der Hartmasken-Struktur 312 dar. Die Hartmasken-Struktur 312 kann in verdünnter Fluorwasserstaffsäure (DHF-Säure, Dilute HydroFluoric acid) entfernt werden, wenn es sich bei dem Material der Hartmaskenschicht um SiO2 handelt. Der Prozessablauf 311 zeigt eine Nano-Säulen-Anordnung 320 von Nano-Säulen 314.
  • Um die Abmessung von Zwischenräumen jeweils zwischen den Nano-Säulen 314 weiter zu verringern und um eine Variation der Zwischenräume zu verringern, stellt der Prozessablauf 313 in 3G ein Anordnen eines Oxids 316 dar, um die Oberfläche der Anordnung 320 von Nano-Säulen zu bedecken, die in dem Substrat 302 ausgebildet ist. In einem Fall kann eine thermische Oxidation verwendet werden, um Siliciumdioxid 316 für eine Bedeckung der Oberfläche der Anordnung 320 von Nano-Säulen aufzuwachsen, um die Zwischenräume zu verschmälern. In einem anderen Fall kann das Oxid 316 zum Beispiel unter Verwendung einer atomaren Schichtabscheidung auf der Anordnung 320 von Nano-Säulen (die aus Silicium hergestellt sind) abgeschieden werden.
  • Im Allgemeinen beinhalten Anordnungen von Säulen eine dichte Anordnung von Silicium-Säulen, die mittels RIE gefolgt von einem Oxidations-Vorgang (z. B. dem Prozessablauf 313) definiert werden, der dazu dient, die Zwischenräume zwischen den Säulenständern zu verschmälern und eine Variation der Zwischenräume zu minimieren. Die Herstellung einer Anordnung von Nano-Säulen kann außerdem einen optionalen chemischen Modifikations-Vorgang beinhalten, bei dem eine weitere Zwischenraum-Skalierung (d. h. eine Verringerung der Abmessung) gefordert sein kann. Diese Anordnungen von Säulen und/oder Zwischenräumen können in gewinkelte Säulen-Auslegungen implementiert werden, um eine Probe zu konzentrieren oder ein heterogenes Gemisch von biologischen Entitäten auf dem Niveau einzelner Moleküle zu separieren, ähnlich wie bei der Arbeit, die durch die Veröffentlichung ”Hydrodynamic Metamaterials: Microfabricated Arrays To Steer, Refract, And Focus Streams Of Biomaterials” für ein Sortieren von Zellen oder großen Partikeln dokumentiert ist. Der Prozessablauf für die Fertigung einer Anordnung von Nano-Säulen in den 3A und 3B kann dazu verwendet werden, Anordnungen von Nano-Säulen 314, die in irgendeinem gewünschten Abstand von Zwischenräumen G zwischen den Nano-Säulen 314 verschoben sind, ein gewünschtes Rastermaß λ der Säulen, eine gewünschte Verschiebung δ von Reihe zu Reihe sowie einen gewünschten Anordnungs-Winkel α (der auch als der kritische Winkel α bezeichnet wird) zu erzeugen (wie in 1 gezeigt).
  • Auf dem gleichen Substrat 302 können mehrere Anordnungen 320 von Nano-Säulen (z. B. 1 – N, wobei N die letzte Zahl der Anordnung 320 von Nano-Säulen ist) gefertigt werden, wie bei den 3A und 3B erörtert. Die ersten Anordnungen 320 von Nano-Säulen können einen ersten Satz von Parametern aufweisen (einen gewünschten Abstand der Zwischenräume G zwischen den Nano-Säulen 314, ein gewünschtes Rastermaß λ der Säulen, eine gewünschte Verschiebung δ von Reihe zu Reihe sowie einen gewünschten Anordnungs-Winkel α). Die zweiten Anordnungen 320 von Nano-Säulen können einen zweiten Satz von Parametern aufweisen (einen gewünschten Abstand der Zwischenräume G zwischen den Nano-Säulen 314, ein gewünschtes Rastermaß λ der Säulen, eine gewünschte Verschiebung δ von Reihe zu Reihe sowie einen gewünschten Anordnungs-Winkel α), wobei sich ein Parameter oder mehrere Parameter des ersten Satzes von Parametern von jenem oder jenen des zweiten Satzes von Parametern unterscheiden können. Die dritten Anordnungen 320 von Nano-Säulen können einen dritten Satz von Parametern aufweisen (einen gewünschten Abstand der Zwischenräume G zwischen den Nano-Säulen 314, ein gewünschtes Rastermaß λ der Säulen, eine gewünschte Verschiebung δ von Reihe zu Reihe sowie einen gewünschten Anordnungs-Winkel α), wobei sich ein Parameter oder mehrere Parameter des ersten Satzes von Parametern von einigen Parametern des zweiten Satzes von Parametern unterscheiden können und/oder der gleiche oder die gleichen wie einige Parameter des zweiten Satzes von Parametern sein können und sich ein Parameter oder mehrere Parameter des dritten Satzes von Parametern von einigen Parametern des ersten und des zweiten Satzes von Parametern unterscheiden können und/oder der gleiche oder die gleichen wie einige Parameter des ersten und des zweiten Satzes von Parametern sein können. Dieselbe Analogie kann bis zu den letzten (N) Anordnungen 320 von Nano-Säulen gelten, die einen letzten (N) Satz von Parametern aufweisen können (einen gewünschten Abstand der Zwischenräume G zwischen den Nano-Säulen 314, ein gewünschtes Rastermaß λ der Säulen, eine gewünschte Verschiebung δ von Reihe zu Reihe sowie einen gewünschten Anordnungs-Winkel α), wobei sich ein Parameter oder mehrere Parameter des letzten Satzes von Parametern von irgendeinem Parameter des ersten, des zweiten, des dritten und des N-1-ten Satzes von Parametern unterscheiden können und/oder der gleiche oder die gleichen Parameter wie irgendein Parameter des ersten, des zweiten, des dritten und des N-1-ten Satzes von Parametern sein können.
  • Um die Säulen und Zwischenräumen zu definieren, kann eine Negativ-Lithographie-Technik im Nanobereich besser sein, um eine Abmessung der strukturierten Zwischenräume von weniger als (<) 100 nm sicherzustellen, um z. B. mit den Säulen und Zwischenräumen zu beginnen, die in der Resist-Struktur 308 definiert werden, wie im Prozessablauf 305 gezeigt. Elektronenstrahl-Lithographie ist eine Option, bei der Strukturen von Säulen-Anordnungen kleiner sind. Es kann jedoch auch die fertigungsgerechtere Vorgehensweise der Nanoimprint-Lithographie ebenso wie eine Lithographie im extremen Ultraviolett(EUV, Extreme UltraViolet)-Bereich und im tiefen Ultraviolett(DUV, Deep UltraViolet)-Bereich unter Bedingungen mit einer gut gesteuerten Dosis angewendet werden. Um Säulen mit einem hohen Aspekt-Verhältnis zu erhalten, muss die eingeschriebene Struktur (d. h. die Resist-Struktur 308) vor einem Ätzen des (Si) Substrats 302 auf die Hartmaske 304 (die Hartmasken-Struktur 312) transferiert werden. Säulen mit einem hohen Aspekt-Verhältnis lassen einen höheren Fluid-Durchsatz zu und können Probleme hinsichtlich eines Zusetzens verringern, die mit Mikro-/Nanofluid-Elementen verknüpft sind. Bei Säulen mit einem hohen Aspekt-Verhältnis handelt es sich daher um ein nützliches Merkmal, solange die Zwischenraum-Abmessung zwischen benachbarten Säulen aufrechterhalten werden kann. Indem zunächst die Säulen in der Resist-Struktur 308 definiert werden und diese auf die geätzte Hartmaske 312 transferiert werden, erhöht der Vorteil der Ätz-Selektwität das Aspekt-Verhältnis, während eine einheitlichere Zwischenraum-Abmessung aufrechterhalten wird, wenn das Ätzen der Anordnung (320) von Säulen durchgeführt wird.
  • Nachstehend werden einige experimentelle Daten als beispielhafte Ausführungen erörtert. Die experimentellen Daten dienen einer Erläuterung und stellen keine Beschränkung dar. In diesem Fall wurde eine Elektronenstrahl-Lithographie verwendet, um die Abmessungen der Säulen (z. B. die Resist-Struktur 308) in Wasserstoff-Silsesquioxan (HSQ, Hydrogen SilsesQuioxane) als Teil eines Resist-Stapels mit zwei Schichten (z. B. Resist 306) zu definieren, der dann auf eine Hartmaske aus einem nicht verdichteten Niedertemperatur-Oxid(LTO, Low-Temperature Oxide) mit 150 nm (z. B. die geätzte Hartmasken-Struktur 312) transferiert wird. Hartmasken aus einem verdichteten LTO, einem thermischen Oxid und/oder aus SiO2/SiN/SiO2 können ebenfalls in Erwägung gezogen werden. Das Experiment verwendete dann einen Si-Ätzprozess auf der Grundlage von RIE, um die Säulen (z. B. die Säulen 314) in dem Substrat zu definieren. Nunmehr werden weitere Details des RIE-Prozesses beschrieben.
  • Details des RIE-Prozesses: Ein Trockenätz-Vorgang wurde in einer Applied Materials DPSII ICP Ätzkammer für einen Struktur-Transfer ausgeführt, um Si-Säulen mit einer Höhe von 400 nm aus der Elektronenstrahl-Resist-Struktur zu fertigen. Zunächst wird das entwickelte Negativ-Elektronenstrahl-Resist (HSQ) dazu verwendet, unter Verwendung eines N2/O2/Ar/C2H4-Chemismus bei einer Quellenleistung von 400 Watt (W), einer Bias-Leistung von 100 W sowie einem Druck von 4 Millitorr (mTorr) bei 65°C durch eine Maske aus einer organischen Planarisierungsschicht (OPL, Organic Planarization Layer) hindurch zu ätzen. Dann wird die Struktur unter Verwendung eines CF4/CHF3-Chemismus bei einer Quellenleistung von 500 W, einer Bias-Leistung von 100 W und einem Druck von 30 mTorr bei 65°C weiter in eine Hartmaske aus SiO2 transferiert. Die Hartmaske aus Kohlenstoff wird danach unter Verwendung eines O2/N2-Chemismus in einer Applied Materials Axiom Stromabwärts-Veraschungsvorrichtung bei 250°C abgelöst. Die Si-Säulen werden unter Verwendung der Hartmaske aus SiO2 bis zu einer Tiefe von 400 nm geätzt, wobei die DPSII zunächst durch einen Durchbruch-Schritt mit CF4/C2H4 und anschließend einen Hauptätzvorgang mit Cl2/HBr/CF4/He/O2/C2H4 bei einer Quellenleistung von 650 W, einer Bias-Leistung von 85 W und einem Druck von 4 mTorr bei 65°C verwendet wird. Es ist anzumerken, dass drei Masken verwendet wurden, um die Säulen schließlich zu ätzen, und bei den drei Masken handelte es sich um das entwickelte HSQ-Elektronenstrahl-Resist (Maske), die OPL-Maske sowie die Hartmaske aus SiO2.
  • Zwischenraum-Analyse
  • Bei den 4A, 4B, 4C und 4D handelt es sich um Rasterelektronenmikroskop-Aufnahmen des Ergebnisses dieses RIE-Prozesses für zwei separate Fälle. Die 4A und 4B stellen die Säulen (z. B. die Säulen 314) dar, bevor die Hartmaske (z. B. die Hartmasken-Struktur 312) entfernt wird (wie beispielsweise in dem Prozessablauf 309), und die oberen Enden der Säulen (der Säulen 314 mit der Hartmasken-Struktur 312 auf dem oberen Ende) weisen eine abgerundete Form auf. Die Hartmaske aus einem LTO (nicht verdichtet) mit 150 nm wurde in den 4A und 4B zusammen mit einem RIE-Ätzvorgang verwendet, um die Säulen 314 zu erzeugen. Die 4C und 4D stellen Säulen (z. B. die Säulen 314) nach einer Entfernung der Hartmaske (z. B. der Hartmasken-Struktur 312) mittels verdünnter Fluorwasserstoffsäure dar, die an verschiedenen Wafern ausgeführt wurde, und die oberen Enden der Säulen 314 in den 40 und 4D sind flach, In beiden Fällen biegen sich die Si-Säulen aufgrund der hohen Dichte der Säulen in der Anordnung in der Mitte nach innen. Das heißt, die Zwischenräume zwischen den Säulen verbreitern sich in der Mitte der Säulen 314, da der Durchmesser der Säulen in der Mitte verringert ist. Die Säulen weisen eine nach innen gebogene Form oder eine Stundenglas-Form auf. Es ist anzumerken, dass Säulen an den Grenzen der Anordnung sehr vertikal sind (nicht gezeigt). Dies unterstreicht das Problem einer Ungleichmäßigkeit der Zwischenräume in der Größenordnung von Nanometern, wobei Zwischenraum-Abmessungen von ungefähr (~) 100 nm eine Variation des Zwischenraums von dem oberen Ende einer Säule zu dem unteren Ende der gleichen Säule (d. h. Tiefe oder Höhe einer Säule) von ungefähr 50 nm aufweisen, wie aus den 4C und 4D ersichtlich. Die unmittelbare Nähe der Säulen in der Anordnung, wie sie durch den Zwischenraum definiert ist, verursachte, dass sich die Säulen in der Mitte nach innen bogen, wodurch eine Variation der Zwischenräume erzeugt wurde, die eine weitere Skalierung verhindert. Dieser Effekt wurde für den vorstehend beschriebenen Ätzprozess (d. h. vor einem Anordnen der Oxidschicht 316) bei Zwischenraum-Abmessungen mit Dimensionen von 250 nm und darunter beobachtet.
  • Bei den 5A und 5B handelt es sich um Rasterelektronenmikroskop-Aufnahmen der gefertigten Anordnung von Nano-Säulen eines Wafers 5 gemäß einer Ausführungsform ohne ein thermisches Oxid mit einer Dicke von 50 nm. Bei den 5C, 5D und 5E handelt es sich um Rasterelektronenmikroskop-Aufnahmen eines Wafers 7, die den Einfluss des Aufwachsens eines thermischen Oxids mit einer Dicke von 50 nm (z. B. der Oxidschicht 316) auf Anordnungen von Nano-Säulen gemäß einer Ausführungsform zeigen, die in Si eingebettet sind. Auf der Seite der Säulen ist eine rechte Wand 505 (die in den 5A und 5C gezeigt ist), ein Boden 510 des Substrats sowie eine linke Wand 515 vorhanden (die in 5B gezeigt ist).
  • Die Verarbeitung der Säulen in den 5A und 5B für den Wafer 5 ist identisch mit der Verarbeitung der Säulen auf dem Wafer 7 in den 5C, 5D und 5E, mit Ausnahme des letzten Oxidations-Schritts (der lediglich auf dem Wafer 7 in den 5C bis 5E durchgeführt wird). In dem Fall von 513 (Wafer 5) liegt eine Variation von 26 nm für die Zwischenraum-Abmessung von ungefähr 186 nm vor, während 5D (Wafer 7) nach einer Oxidation mit der Verschmälerung der Zwischenraum-Abmessung auf ungefähr 138 nm in diesem Fall lediglich eine Variation von 13 nm bei der Zwischenraum-Abmessung zeigt. Dieser heilende Effekt einer Oxidation tritt als ein Ergebnis der Ungleichmäßigkeit des Oxids auf diesen nicht-planaren Strukturen auf (d. h. den Säulen), wie in 5E gezeigt. 5E zeigt, dass die Zwischenraum-Abmessung relativ zu zwei Säulen (aus einer Seite-an-Seite-Perspektive in der Richtung der x-Achse) zwischen diesen zwei Säulen von oben nach unten (d. h. entlang der vertikalen Achse der y-Achse) möglicherweise nur um 13 nm variiert, da das Oxid in die nach innen gebogene Form gefüllt wurde. Wird der Ätzprozess verwendet, der auf die 5A und 5B angewendet wird (Wafer 5), wird festgestellt, dass eine ungleichmäßige Oxidation auf den Säulen-Elementen die Variation der Zwischenräume ”heilt”, wie in den 5C, 5D, 5E (Wafer 7) gezeigt, da die Oxidation in der Mitte der Säulen (anstatt an dem oberen Ende und dem unteren Ende) schneller voran schreitet, und dies ist des Weiteren in den 10A und 10B gezeigt.
  • Die 6A und 6B (Wafer 5) stellen ein Starten mit einer geringeren Abmessung des Zwischenraums dar, wie beispielsweise 80 bis 89 nm (Variation um 9 nm), in dem kein Oxid angeordnet ist, um die Stundenglas-Form zu füllen. Die 6C und 6D (Wafer 7) stellen den Schritt einer Oxidation mit 50 nm dar, der angewendet wird, wenn die ursprüngliche Zwischenraum-Abmessung 80 bis 89 nm beträgt (Variation um 9 nm). Der Einfluss der Oxidation ist in den 6C und 6D sehr offensichtlich, bei denen der gleiche Schritt einer Oxidation mit 50 nm (der vorstehend bei den 5C, 5D, 5E erörtert wurde) die Zwischenraum-Abmessung von 80 bis 89 nm auf gerade noch 21 bis 25 nm (Variation der Zwischenräume von 4 nm) mit einem Verhältnis von 12:1 (Tiefe:Zwischenraum) verringert. Wie aus den 6C und 6D ersichtlich, ergibt eine Oxidation auf geringeren Ausgangs-Abmessungen der Zwischenräume (wie z. B. 80 bis 89 nm (oder weniger) vor dem Oxidationsschritt für eine Verschmälerung des Zwischenraums und eine Beseitigung der Biegung nach innen) Zwischenräume von ungefähr 25 nm mit einer Variation von nur einigen wenigen Nanometern (4 nm) über eine Ätztiefe von ungefähr 300 nm, wobei das Verhältnis von Tiefe zu Zwischenraum von 300:25 in dem Verhältnis von 12:1 resultiert. Dieser kleine Wert für die Variation der Zwischenräume (z. B. 4 nm) und dieser Prozess eröffnen die Möglichkeit, kundenspezifische, abstimmbare Zwischenraum-Abmessungen herzustellen, insbesondere wenn diese Nano-Säulen mit chemischen Modifikationsprozessen kombiniert werden. Der Begriff eines hohen Aspekt-Verhältnisses kann sich auf Strukturen mit einem Verhältnis von Tiefe zu Zwischenraum von mehr als 4:1 beziehen, wobei es schwierig sein kann, dieses in einem fertigungsgerechten Prozess in dieser Größenordnung zu erreichen.
  • Wird das Oxid auf der Anordnung von Säulen angeordnet, wie hierin erörtert, werden Ausführungsformen so konfiguriert, dass eine Anordnung von Säulen mit einer Zwischenraum-Abmessung bereitgestellt wird, die entlang der vertikalen Achse (d. h. der Tiefe) von zwei Säulen, die sich nebeneinander befinden, gleichmäßig ist (z. B. variiert die Zwischenraum-Abmessung zwischen den zwei nebeneinander liegenden Säulen um weniger als 5 nm (wie beispielsweise 4 nm, 3 nm, 2 nm)). Die 10A und 10B sind zum Beispiel Querschnittsansichten, die den Heilungsprozess darstellen, der die Variation der Zwischenräume beseitigt (verringert) und eine gleichmäßige Zwischenraum-Abmessung in der Anordnung 320 von Säulen gemäß einer Ausführungsform erzeugt. Lediglich zu Zwecken der Darstellung sind zwei Säulen 314 nebeneinander gezeigt, die Darstellung gilt jedoch für sämtliche der Säulen 314 in der Anordnung 320 von Säulen. Die Höhe der Säulen 314 ist auf der y-Achse gezeigt, und die Breite/der Durchmesser ist auf der x-Achse gezeigt. Die z-Achse repräsentiert die Länge der Anordnung 320, und weitere Säulen 314 (nicht gezeigt) in der Anordnung sind vor und hinter den zwei Säulen 314 positioniert. 10A zeigt zwei beispielhafte Säulen 314, die aus ihrem Substratmaterial (Substrat 302) hergestellt sind. Die Säulen 314 sind so nach innen gebogen, dass sie die Form eines Stundenglases aufweisen. In 10A sind zwei Zwischenraum-Abmessungen G1 und G2 gezeigt, es können jedoch weitere Zwischenraum-Abmessungen zwischen den Zwischenraum-Abmessungen G1 und G2 vorhanden sein. Die Zwischenraum-Abmessung G1 befindet sich an (nahe) dem oberen Ende und dem unteren Ende der Säulen 314. Die Zwischenraum-Abmessung G2 befindet sich in (nahe) der Mitte der Säulen 314. Die unmittelbare Nähe der Säulen 314, wie sie durch die Zwischenraum-Abmessung G1 in der Anordnung 320 definiert ist, kann die Stundenglas-Form aufgrund der dimensionsmäßigen Beschränkungen der Zwischenraum-Abmessung G1 verursachen, die dem auftreffenden Fluss von reaktiven Ionen während des RIE-Prozesses auferlegt sind.
  • 10B zeigt die zwei beispielhaften Säulen 314 nach einem Anordnen der Oxidschicht 316. Aufgrund der nicht-planaren Architektur, der Nano-Abmessung der Säulen und des engen Nano-Abstands zwischen den Säulen 314 in der Anordnung 320 von Säulen verteilt sich die Oxidschicht 316 nicht gleichmäßig auf den Säulen 314. Stattdessen wird mehr Oxid 316 in der Mitte (Hohlräumen) der Säulen 314 schneller als an dem oberen Ende und dem unteren Ende der Säulen 314 in der Richtung der y-Achse gebildet. Mit anderen Worten, die nach innen gebogenen Mitten werden mit einer schnelleren Rate gefüllt als die oberen Enden und die unteren Enden der Säulen 314. Diese ungleichmäßige Verteilung des auf den Säulen 314 gebildeten Oxids 316 dient dazu, die einzelnen Säulen 314 zu begradigen, wobei sie von der Form eines Stundenglases in eine zylinderartige Form verändert werden, was wiederum die Zwischenraum-Abmessung G5 zwischen den zwei Säulen 314 (und jeglichen anderen zwei Säulen 314, die in der Richtung der x-Achse nebeneinander liegen) gleichmäßig macht. Dementsprechend sind sämtliche der Zwischenräume G (wobei G die allgemeine Zwischenraum-Abmessung der Anordnung repräsentiert) überall in der Anordnung 320 von Säulen gleichmäßig.
  • Chemische Modifikation
  • Eine Wechselwirkung zwischen den zu sortierenden Partikeln und den Oberflächen der Anordnung kann maßgeschneidert werden, indem eine chemische Modifikation verwendet wird. Im Allgemeinen kann diese mit der Anbringung und/oder dem Anlagern von Molekülen an den Oberflächen der Anordnung von Säulen durch physikalische Adsorption und/oder eine Bildung von chemischen Bindungen verbunden sein. Außerdem kann die chemische Modifikation der Anordnung von Säulen eine Anbringung einer Schicht (von Schichten) aus einem Material, wie beispielsweise einem Metall, einem Polymer und/oder einer keramischen Beschichtung, ebenso wie Änderungen des Oxidationszustands der Anordnungsoberfläche beinhalten. Oberflächen (für eine chemische Modifikation) können die Rächen der sortierenden Säulen, der Wände, der Decke und/oder der Böden der Fluid-Säulen-Anordnung beinhalten. Darüber hinaus kann die chemische Modifikation auf jeglichen Oberflächen stattfinden, die in den Einlässen, den Auslässen, den Antriebsmechanismen und/oder den Fluid-Kanälen vorhanden sind, die an der Nanofluid-Einheit (z. B. an der einen Anordnung oder den mehreren Anordnungen von Säulen) angebracht sind.
  • Wenngleich die chemische Modifikation angewendet werden kann, wie vorstehend erörtert, besteht die bessere Anwendung aus der chemischen Modifikation der sortierenden Säulen selbst, da dies eine Gestaltung der Wechselwirkungen zwischen den Partikeln und den Oberflächen der sortierenden Anordnung zulässt.
  • Bei einem Beispiel kann ein kleines organisches Molekül oder Polymer, das als ein Ligand bezeichnet wird, chemisch an der Oberfläche der Säulen angelagert werden, wie beispielsweise durch eine Kondensation von Chlorsilan und/oder Alkoxysilanen auf dem nativen Siliciumoxid der Säulen, wie in 7A dargestellt. Außerdem kann der Ligand chemisch an der Oberfläche der Säulen angelagert werden, wie beispielsweise durch Thiole, Amine und/oder Phosphine auf Säulen, die mit einer dünnen Schicht (z. B. 10 nm) aus Gold oder Silber beschichtet sind, wie in 7B dargestellt. Die resultierende Schicht aus Liganden-Molekülen weist eine Dicke von einem einzelnen Molekül auf, d. h. es handelt sich um eine monomolekulare Schicht. Die Endgruppen der monomolekularen Schicht, die sich in direktem Kontakt mit dem Fluid und den Partikeln befinden, bestimmen die physochemischen Wechselwirkungen, welche die Partikel erfahren, wenn sie durch die Anordnung hindurch strömen. Ein Ändern der Endgruppe des Liganden ermöglicht daher ein Maßschneidern der Oberflächenwechselwirkungen innerhalb der Anordnung.
  • Die 7A und 7B stellen das allgemeine chemische Schema von Verfahren für eine chemische Modifikation von sortierenden Anordnungsoberflächen gemäß einer Ausführungsform dar. Bezugnehmend auf 7A können für ein generisches Substrat (eine Säule einer Anordnung) reaktive Stellen (X) auf der Oberfläche dazu verwendet werden, chemische Bindungen und/oder eine physikalische Absorption von Liganden mit kleinen Molekülen zu bilden, Die Anbringung von Liganden an der Oberfläche bildet eine neue Schicht, die eine Dicke von einem einzelnen Molekül aufweist (d. h. die monomolekulare Schicht). Ein allgemeiner Ligand besteht aus (i) einer Bindungs-Gruppe (Z), die mit der reaktiven Stelle (X) des Substrats wechselwirkt, (ii) einem Rückgrat, das aus einer Anzahl von Spacer-Molekülen (n) besteht, die im Großen die Dicke der endgültigen monomolekularen Schicht bestimmen, und (iii) einer Endgruppe (A), die mit der Grenzfläche zwischen der monomolekularen Schicht und dem Fluid/den Partikeln in der Anordnung wechselwirkt. Die Endgruppe (A) wechselwirkt mit den zu sortierenden Partikeln. Wenngleich 7A die Bindungs-Gruppe Z und die reaktive Stelle X zeigt, Ist dies nur ein Beispiel, und die chemische Modifikation soll nicht auf den einen Typ eines Reaktionsmechanismus in diesem Beispiel beschränkt sein. Es gibt zwei weitere allgemeine mechanistische Möglichkeiten: (1) die Bildung einer direkten Bindung, d. h. die Z-Gruppe bindet an die reaktive Stelle X in einer Z-X-Bindung, und/oder (2) die Bildung einer Bindung mit einer Beseitigung, d. h. die reaktive Gruppe Z-W bindet an die reaktive Stelle X-V in einer Z-X-Bindung, wobei die Nebenprodukte W, V beseitigt werden. Die Reaktion von Chlorsilanen R-Si-Cl mit einem Silanol auf der Siliciumdioxid-Oberfläche, H-O-Si, bildet zum Beispiel die R-Si-O-Si-Bindung, wobei das HCl beseitigt wird.
  • Bezugnehmend auf 7B können monomolekulare Schichten auf Metallschichten (M) gebildet werden, die zuvor auf der Anordnung von Säulen abgeschieden wurden. Auf den Säulen können zum Beispiel eine oder mehrere Metallschichten (M) derart abgeschieden werden (z. B. nach dem Oxidationsprozess, der die gleichmäßige Zwischenraum-Abmessung erzeugt), dass die Säulen nun eine Metalloberfläche (M) über dem Substrat aufweisen (und/oder über der Oxidschicht, die in die Biegung nach innen gefüllt wurde). In 7B ist die Bindungs-Gruppe mit 'Q' im Gegensatz zu 'Z' in 7A bezeichnet. Liganden (z. B. mit der Bindungs-Gruppe (Q)) können Koordinierungs-Komplexe direkt mit der Metalloberfläche (M) der Anordnung von Säulen bilden, wobei eine dicht gepackte monomolekulare Schicht gebildet wird.
  • Eine chemische Modifikation kann dazu verwendet werden, die Anordnung von Säulen darauf abzustimmen, durch Verringern der Zwischenraum-Abmessung kleinere Partikel zu sortieren, wie in den 8A und 8D dargestellt. Die Oberflächen der sortierenden Säulen 314 können mit Molekülen mit verschiedener Länge modifiziert werden, die aliphatische oder aromatische Oligomere/Polymere beinhalten, welche die Dicke der Säulen effektiv vergrößern und dadurch den Zwischenraum-Abstand zwischen diesen verringern. Durch Auswählen von längeren Liganden kann die Zwischenraum-Abmessung verkleinert werden und dadurch die effektive Grenz-Partikelabmessung verkleinert werden (d. h. es können kleinere Partikel sortiert werden). Das Rückgrat des Liganden kann so gewählt werden, dass ein Bereich von mechanischen Eigenschaften zwischen einer starren, dicht gepackten molekularen Schicht und/oder einer flexiblen, ungeordneten Schicht bereitgestellt wird. Liganden können kleine organische Moleküle, Proteine, Peptide, Nukleinsäuren, Oligosaccharide und/oder synthetische Polymere beinhalten. Bei einem Beispiel sind die Oberflächen der Säulen mit Oligomeren von Polyethylenglycol (PEG) durch Siloxan-Verbindungen modifiziert. Mit ungefähr 0,36 nm pro Ethylenoxid-Rückstand für ein PEG-Oligomer mit 12 Rückständen erzeugt dies eine Verringerung der Zwischenraum-Abmessung von ungefähr 9 nm, für ein PEG-Oligomer mit 20 Rückständen bedeutet dies eine Verringerung der Zwischenraum-Abmessung von ungefähr 14 nm.
  • Die 8A bis 8D stellen Schemata einer chemischen Modifikation von sortierenden Anordnungen als ein Mittel für ein Modifizieren der Zwischenraum-Abmessung zwischen Säulen gemäß einer Ausführungsform dar. Bezugnehmend auf 8A sind für Säulen 314 mit deren nativem Oxid, einer aufgewachsenen Oxidschicht und/oder einer abgeschiedenen Schicht aus einem alternativen Material, z. B. einem Metall, einer Keramik, einem Polymer, reaktive Stellen (X) auf der Oberfläche der Säulen vorhanden. Die Säulen 314 weisen eine anfängliche Zwischenraum-Breite auf, die mit g bezeichnet ist. Es ist ein Boden 805 der Anordnung vorhanden (bei dem es sich um den Boden des Substrats 302 handelt, auf dem die Säulen stehen). 8C zeigt eine vergrößerte Ansicht 820, welche die leere reaktive Stelle (X) in 8A zeigt. In der Ansicht 820 ist die reaktive Stelle (X) nicht an einem Liganden angebracht, der Ligand ist jedoch an der Anordnung 320 von Säulen zu applizieren, wie in 8B gezeigt.
  • Bezugnehmend auf 8B bildet eine chemische Anbringung des Liganden 810 an den Oberflächen der Säulen eine monomolekulare Schicht 815, die eine Dicke aufweist, die von den Eigenschaften der Liganden-Packung bestimmt wird. Die hinzugefügte Dicke der monomolekularen Schicht 815 verringert die Breite des Zwischenraums (von einer anfänglich Breite g des Zwischenraums) bis zu einer neuen effektiven Breite (ge) des Zwischenraums. Eine Einstellung der Struktur des Liganden, insbesondere des Rückgrats, ebenso wie der Packung und der Defektdichte der monomolekularen Schicht 815 kann die Dicke der monomolekularen Schicht 815 maßschneidern und somit den effektiven Zwischenraum (ge) maßschneidern. Bei dem effektiven Zwischenraum (ge) handelt es sich um die neue physische Zwischenraum-Abmessung, die von den Partikeln erfahren wird, wenn sie durch die Anordnung 320 hindurch strömen, und er wird aus der Kombination der physischen Barriere der Säulen zuzüglich der hinzugefügten sterischen Barriere der monomolekularen Schicht gebildet. Der effektive Zwischenraum ist im Allgemeinen ein ungefährer Wert, der von den strukturellen, mechanischen und dynamischen Eigenschaften der monomolekularen Schicht unter den Betriebsbedingungen des Sortierens der Partikel abhängig ist. 8D zeigt eine vergrößerte Ansicht 820, in der die reaktiven Stellen (X) an den Liganden 810 angebracht wurden, wodurch sich der Durchmesser der Säulen 314 vergrößert.
  • Eine weitere Verbesserung und Weiterentwicklung der sortierenden Anordnung kann durch die Endgruppe(n) (A) der Liganden eingebracht werden, die so gewählt werden können, dass sie spezifische Wechselwirkungen mit dem Fluid und/oder den zu sortierenden Partikeln aufweisen, wie in der schematischen Darstellung von 9A gezeigt. Wenn die Partikel durch die Anordnung 320 von Säulen hindurch strömen, führen Wechselwirkungen mit den Endgruppen der monomolekularen Schicht 915 zu einer erhöhten Adhäsion und einer zeitweiligen Zurückhaltung auf den Säulenwänden der Säulen 314. Diese Wechselwirkungen verlangsamen den Strom der Partikel, ebenso wie bewirkt wird, dass die Partikel im Mittel mehr an den Wänden der Säulen positioniert sind, wodurch die Größe des Strömungsfelds verringert wird, das ihn abtastet. Da das Rastermaß der Anordnung asymmetrisch in Bezug auf den mittleren Fluidstrom ist, werden Partikel (wie beispielsweise die Partikel 910), welche die Säulen 314 durchqueren und zwischen diesen zurückgehalten werden, effektiv entlang des kritischen Winkels der Anordnung bewegt und werden aussortiert. Bei einem Beispiel können Thiol-Endgruppen an dem Ende von Liganden des PEG-Typs für gebildete Disulfid-Verbindungen zwischen durchquerenden Partikeln verwendet werden, wie beispielsweise Proteinen oder anderen Molekülen, an die Thiole angeheftet sind. In Kombination mit einem geeigneten Katalysatormittel in dem Fluid können, wenn Proteine (wie beispielsweise die Partikel 910) durch die Anordnung 320 hindurch strömen, diese Disulfid-Bindungen mit den Säulen 314 bilden, wobei ihr Strom zeitweilig aufgehalten wird. Bei einem weiteren Beispiel können kleine Segmente einer chemisch stabilen Nukleinsäure, wie beispielsweise einer Peptid-Nukleinsäure (PNA), an den Wänden der Säulen angebracht werden, um durch eine reversible Basis-Paarung selektiv DNA- oder RNA-Analyte zu verlangsamen und auszusortieren. Bei einem weiteren Beispiel können Patchs von hydrophoben Liganden, die in hydrophilen monomolekularen Schichten eingebettet sind, auf die Säulen eingebracht werden, wobei ein derartiges Paar aus aliphatischen Kohlenwasserstoff-Liganden und PEG besteht. Die hydrophoben Patchs können für eine Wechselwirkung mit hydrophoben Domänen auf Proteinen verwendet werden, um diese selektiv aus der Lösung zu sortieren.
  • 9A zeigt eine Darstellung eines Partikelstroms in einer chemisch modifizierten sortierenden Anordnung mit Partikeln 905, die keine Affinität zu der monomolekularen Oberflächenschicht 915 haben, und mit Partikeln 910, die mit der monomolekularen Schicht 915 wechselwirken. Die Partikel 905 ohne Affinität folgen den Strömungslinien durch die Anordnung 320 hindurch (d. h. sie zeigen einen Zickzack-Modus) und sind keinerlei starken Wechselwirkungen mit den Säulen 314 unterworfen. Die Trajektorie dieser Partikel 905 ist im Mittel unbeeinflusst, und sie strömen ohne ein Sortieren in der Anordnung 320. Die Partikel 905 strömen zum Beispiel in einen Auslass 940 hinein. Die Partikel 910 mit einer physochemischen Affinität jedoch, die von Molekülen auf deren Oberfläche verursacht wird, erfahren Wechselwirkungen mit den Molekülen der monomolekularen Schicht 915 auf der Oberfläche der Säulen 314. Die Wechselwirkungen können diese Partikel 910 zeitweilig an der Oberfläche der Säulen 314 binden und bewirken, dass die Partikel 910 im Mittel näher bei den Säulenwänden der Säulen 314 verbleiben. Durch mehrere sequentielle Bindungs- und Dissoziations-Ereignisse werden die Partikel 910 entlang der Richtung der Säulen 314 transferiert (d. h. sie zeigen einen Bump-Modus in der Richtung des kritischen Winkels α) und werden aufgrund der chemischen Affinität mittels der Anordnung 320 sortiert. Die Partikel 910 werden in einen Auslass 945 hinein sortiert. 9B ist eine vergrößerte Ansicht eines Querschnitts der Nano-Säule 314, der monomolekularen Schicht 915 und des Partikels 910 mit einer Affinität gemäß einer Ausführungsform.
  • Um die Anordnung 320 von Säulen chemisch zu modifizieren, kann der Ligand durch chemische Gasphasenabscheidung (CVD) und/oder durch Nasschemie eingebracht werden. Um das Metall anzubringen, können CVD, Sputtern und/oder Nasschemie verwendet werden. Nachstehend sind zwei detaillierte Beispiele für ein chemisches Modifizieren der Säulen 314 durch Hinzufügen einer monomolekularen Schicht, die zu Zwecken der Erläuterung und nicht der Beschränkung erörtert werden, sowie die zwei Beispiele bereitgestellt, die eine Nasschemie verwenden.
  • Zu Darstellungszwecken ist nachstehend ein beispielhaftes Verfahren für eine Modifikation einer Mikrofluid-Einheit bereitgestellt, die Poly(ethylenoxid)(PEG)-Liganden-Modifikatoren verwendet. Sämtliches Glas, das Chlorsilanen ausgesetzt werden soll, wird zunächst in einem Isopropanol-Bad, das mit Kaliumhydroxid gesättigt ist, wenigstens 24 Stunden lang gereinigt, danach mit deionisiertem Wasser gründlich gespült und 12 Stunden lang bei 1430°C in einem Ofen getrocknet.
  • Ein Rundkolben mit 100 ml wird aus dem Ofen mit 140°C entfernt und rasch mit einem Septum abgedichtet. Eine Reinigung mit Stickstoff-Gas wird unter Verwendung von Nadeln durch das Septum hindurch eingerichtet, und es wird ermöglicht, dass der Kolben 10 Minuten lang gereinigt wird. Über eine Kanüle werden 30 ml wasserfreies Toluol in den Kolben transferiert. Über eine Spritze werden 600 μl n-Octyldecyltrichlorsilan injiziert, um eine 49-millimolare Lösung zu bilden. Der Kolben wird kurzzeitig herum gewirbelt, um die Reagenzien homogen zu mischen. Dies bildet die Passivierungs-Lösung. Ein Reaktionsgefäß mit 500 ml und ein Kopf mit 3 Einschnürungen werden aus dem Ofen mit 140°C entfernt und danach rasch zusammen abgedichtet, wobei jeder Einlass mit einem Septum verschlossen wird. Eine Reinigung mit Stickstoff-Gas wird unter Verwendung von Nadeln durch das Septum hindurch eingerichtet, und es wird ermöglicht, dass der Kolben 10 Minuten lang gereinigt wird. Über eine Kanüle werden 20 ml der Passivierungs-Lösung in dem Kolben mit 100 ml zu dem Reaktionsgefäß transferiert. Das Reaktionsgefäß wird behutsam geschüttelt, um die Passivierungs-Lösung gründlich um die Wände des Reaktionsgefäßes herum zu schwenken. Das Gleiche wird unter Verwendung der verbliebenen Passivierungs-Lösung für den Kolben mit 100 ml durchgeführt. Dieses behutsame Schütteln wird 1 Std. lang alle 10 bis 15 Minuten wiederholt. Zwischen dem Schütteln wird ermöglicht, dass das Glas bei Umgebungstemperatur steht. Diese Prozedur dient einem Passivieren der Wände des Glases gegen eine weitere Silizanisierung. Danach wird die Passivierungslösung aus dem Reaktionsgefäß ausgeschüttet, und das Reaktionsgefäß wird sequentiell jeweils 3× mit Toluol, Aceton, Isopropanol und deionisiertem Wasser gereinigt. Das Gleiche wird für den Kolben mit 100 ml durchgeführt. Dann wird das Glas zurück in den Ofen mit 140°C verbracht, und es wird ermöglicht, dass es 12 bis 14 Stunden lang trocknet.
  • Der Rundkolben mit 100 ml wird aus dem Ofen mit 140°C entfernt und rasch mit einem Septum abgedichtet. Eine Reinigung mit Stickstoff-Gas wird unter Verwendung von Nadeln durch das Septum hindurch eingerichtet, und es wird ermöglicht, dass der Kolben 30 Min. lang gereinigt wird. Über eine Kanüle werden 100 ml wasserfreies Toluol in den Kolben transferiert. Über eine Spritze werden 100 μl 2-(Methoxypoly(ethylenoxy)6-9propyl)dimethylchlorsilan injiziert, um eine ungefähr 2-millimolare Lösung zu bilden. Der Kolben wird kurzzeitig herum gewirbelt, um die Reagenzien homogen zu mischen. Diese Modifikations-Lösung wird innerhalb des Tages ihrer Herstellung verwendet.
  • Mikrofluid-Einheiten (Chips) auf der Grundlage von Siliciumdioxid/Silicium werden 30 Min. lang in einem Sauerstoff-Plasma gereinigt, um eine organische Oberflächenkontamination zu beseitigen. Die Chips werden dann 10 Mm. lang in eins 0,1-molare wässrige Salpetersäure-Lösung transferiert, um jegliche Oberflächen-Siloxan-Bindungen mit Silanolen zu hydrolysieren. Danach werden die Chips sequentiell unter Verwendung eines Spritzflaschenstrahls in deionisiertem Wasser, Aceton, Ethanol und danach Isopropanol gereinigt. Der Chip wird dann ”mit dem Gesicht” nach oben auf einem frischen Texwipe angeordnet und sofort unter einem Strom von Stickstoff-Gas getrocknet, wobei das Lösungsmittel von der Mitte des Chips nach außen gedrängt wird. Danach werden die Chips auf einem kundenspezifischen Glashalter angeordnet (der die Chips horizontal/mit dem Gesicht nach oben im Inneren des Reaktionsgefäßes anordnet, wie nachstehend beschrieben).
  • Ein Reaktionsgefäß mit 500 ml und ein Kopf mit 3 Einschnürungen werden aus dem Ofen mit 140°C entfernt. Ein Rührkügelchen samt dem Glashalter und den Chips werden in dem Reaktionsgefäß angeordnet und dann rasch zusammen abgedichtet, wobei jeder Einlass mit einem Septum verschlossen wird. Eine Reinigung mit Stickstoff-Gas wird unter Verwendung von Nadeln durch das Septum hindurch eingerichtet, und es wird ermöglicht, dass das Reaktionsgefäß 30 Min. lang gereinigt wird.
  • Die Modifikations-Lösung (mit dem Liganden) wird über eine Kanüle in den Reaktionskolben transferiert, bis sich der Lösungspegel oberhalb der Chips befindet. Dann wird unter Verwendung eines Rührapparats ein positiver Stickstoff-Druck aufrechterhalten. Es wird ermöglicht, dass die Reaktion 2 Stunden lang unter Rühren bei Umgebungstemperatur läuft. Danach wird das Reaktionsgefäß geöffnet, und die Chips werden (nacheinander) durch sequentielles Spülen unter Verwendung eines Spritzflaschenstrahls, von Toluol, Aceton, Isopropanol, danach von deionisiertem Wasser gereinigt. Dann wird der Chip mit dem Gesicht nach oben auf einem frischen Texwipe angeordnet und unter Verwendung eines Stroms von Stickstoff-Gas sofort getrocknet, wobei das Lösungsmittel von der Mitte des Chips nach außen gedrängt wird. Die Chips werden dann in einem Glashaltegefäß mit einem Septum angeordnet. Eine Reinigung mit Stickstoff-Gas wird unter Verwendung von Nadeln durch das Septum hindurch eingerichtet, und es wird ermöglicht, dass die Chips über Nacht (ungefähr 12 bis 14 Stunden lang) trocknen.
  • Die Verwendung der Unter-Überschriften wird nunmehr beendet. 11 stellt einen Chip 1100 (eine Fluid-Einheit) dar, der (die) die Anordnung 320 von Säulen gemäß einer Ausführungsform aufweist. Der Chip 1100 weist einen Einlass 1105 auf, um das Fluid aufzunehmen, das die zu sortierenden Partikel mit unterschiedlichen Abmessungen (d. h. die biologischen Entitäten) enthält. Bei dem Einlass 1105 kann es sich um eine Öffnung oder ein Loch in den Wänden um die Anordnung 320 von Nano-Säulen herum handeln, oder er kann die Breite der Anordnung 320 von Nano-Säulen überspannen, durch die das Fluid (z. B. Wasser, Elektrolyt-Lösungen, organische Lösungsmittel etc.) und die Partikel (z. B. die biologischen Entitäten) hindurch strömen können. Die Partikel mit einer Abmessung, die größer als die kritische Dimension ist, strömen in der Richtung des kritischen Winkels anprallend (d. h. im Bump-Modus) durch die Anordnung 320 von Säulen hindurch, und diese Partikel, deren Abmessung größer als die kritische Dimension ist, werden an einem Auslass 940 gesammelt. Bei der kritischen Dimension handelt es sich um die Abmessung (z. B. den Durchmesser) eines rund geformten Partikels und/oder die Persistenzlänge einer Kettenstruktur, wie beispielsweise einer DNA, die zu lang ist, um die Nano-Anordnung 320 im Zickzack zu durchlaufen. Partikel mit einer Abmessung, die kleiner als die kritische Dimension ist, durchlaufen die Anordnung 320 von Säulen in der Richtung des Fluidstroms im Zickzack (d. h. im Zickzack-Modus), und diese kleineren Partikel werden an dem Auslass 945 gesammelt. Die Partikel mit der Abmessung, die kleiner als die kritische Dimension ist, folgen der Richtung des Fluidstroms und werden durch den Auslass 945 hindurch sortiert. In einem Fall können die Säulen 314 die chemische Modifikation aufweisen, wie hierin erörtert, welche die Zwischenraum-Abmessung weiter reduzieren kann und/oder Partikel mit einer Affinität zu der chemischen Modifikation sortieren kann. Bei den Auslässen 940 und 945 kann es sich um Öffnungen handeln, durch die hindurch die sortierten Partikel strömen können und nach dem Sortieren in Behältnissen gesammelt werden können.
  • Bei 12 handelt es sich um ein Verfahren 1200, bei dem eine Fluid-Vorrichtung 1100 (z. B. ein Chip 1100) bereitgestellt wird, die (der) gemäß einer Ausführungsform bereitgestellt wird. Es kann auf die vorstehend erörterten 1 bis 11 Bezug genommen werden. Bei Block 1205 wird der Einlass 1105 so konfiguriert, dass er ein Fluid aufnimmt. Bei Block 1210 wird der Auslass (z. B. die Auslässe 940, 945) so konfiguriert, dass das Fluid austritt. Die Anordnung 320 von Nano-Säulen wird mit dem Einlass und mit dem Auslass gekoppelt, und die Anordnung 320 von Nano-Säulen wird so konfiguriert, dass dem Fluid bei Block 1215 ermöglicht wird, von dem Einlass zu dem Auslass zu strömen.
  • Bei Block 1220 weist die Anordnung 320 von Nano-Säulen Nano-Säulen 314 auf, die so angeordnet werden, dass sie biologische Entitäten (Partikel) der Größe nach separieren. Bei Block 1225 werden die Nano-Säulen 314 so angeordnet, dass sie einen Zwischenraum G aufweisen, der eine Nano-Säule 314 von einer anderen Nano-Säule 314 separiert, und der Zwischenraum wird so gestaltet, dass er in einem Nano-Bereich liegt (z. B. Sub-100-nm).
  • Die eine Nano-Säule befindet sich an der Seite der anderen Nano-Säule derart, dass der Zwischenraum G dazwischen liegt. Der Zwischenraum zwischen der einen Nano-Säule und der anderen Nano-Säule ist entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig (wie z. B. der Zwischenraum G5, wie in 10B gezeigt).
  • Die Anordnung von Nano-Säulen weist eine Oxidschicht 316 auf, die auf den Nano-Säulen angebracht ist, und die Oxidschicht 316 bewirkt, dass der Zwischenraum entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist (z. B. ist der Zwischenraum G5 in 10B in dem Raum zwischen den zwei Nano-Säulen 314 nach oben und unten gleichmäßig).
  • Die Oxidschicht 316 bewirkt, dass eine Abmessung des Zwischenraums (z. B. des Zwischenraums G5) nur etwa 20 Nanometer beträgt, wobei der Zwischenraum entlang der vertikalen Achse (z. B. der y-Achse in 10B) gleichmäßig bleibt. Die Oxidschicht 316 bewirkt, dass eine Ungleichmäßigkeit eines Durchmessers (z. B. ist der Durchmesser der Säule 314 in 10A nicht gleichmäßig) der Nano-Säulen in 10B gleichmäßig wird, was dazu führt, dass der Zwischenraum entlang der vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist. Eine Vergrößerung einer Dicke der Oxidschicht 316 bewirkt eine Verringerung einer Abmessung des Zwischenraums.
  • In einem Fall liegt die Abmessung des Zwischenraums in einem Bereich von 20 bis 300 nm. In einem anderen Fall kann die Abmessung des Zwischenraums so gebildet werden, dass sie weniger als 100 nm beträgt, sie kann gemäß der gewünschten Abmessung der zu separierenden Partikel weniger als 80 nm betragen, kann weniger als 60 nm betragen, kann weniger als 40 nm betragen, kann weniger als 30 nm betragen, kann weniger als 25 nm betragen etc. Partikel mit 100 nm können zum Beispiel gemäß einer Ausführungsform mit Zwischenräumen mit einer Abmessung von 240 nm sortiert/separiert werden.
  • An den Nano-Säulen 314 wird eine monomolekulare Schicht angebracht (z. B. die monomolekulare Schicht in den 7A, 7B, die monomolekulare Schicht 815 in 8B und/oder die monomolekulare Schicht 915 in 9A), um eine Abmessung des Zwischenraums zu verringern. Der Zwischenraum mit einer verringerten Abmessung wird so konfiguriert, dass im Vergleich dazu, wenn die monomolekulare Schicht nicht an den Nano-Säulen angebracht ist, kleinere Entitäten separiert werden.
  • Bei 13 handelt es sich um ein Verfahren 1300, bei dem eine Anordnung 320 von Nano-Säulen gemäß einer Ausführungsform gebildet wird. Es kann auf die 1 bis 12 Bezug genommen werden.
  • Bei Block 1305 wird die Hartmasken-Schicht 304 auf dem Substrat 302 angeordnet. Bei Block 1310 wird die Resist-Schicht 306 zu einer Struktur (zu der Resist-Struktur 308) der Anordnung 320 von Nano-Säulen strukturiert, bei der die Resist-Schicht 306 auf der Hartmasken-Schicht 304 angeordnet wurde.
  • Bei Block 1315 wird die Resist-Schicht (die Resist-Struktur 308) dazu verwendet, die Hartmasken-Schicht 304 zu der Struktur (der Hartmasken-Struktur 312) der Anordnung 320 von Nano-Säulen derart zu strukturieren, dass sowohl die Resist-Schicht als auch die Hartmasken-Schicht die Struktur der Anordnung 320 von Nano-Säulen aufweisen.
  • Bei Block 1320 wird das Substrat 302 zu der Struktur der Anordnung 320 von Nano-Säulen derart strukturiert, dass die Anordnung 320 von Nano-Säulen nun gebildet wird, wobei die Resist-Schicht (die Resist-Struktur 308) und die Hartmasken-Schicht (die Hartmasken-Struktur 312) entfernt werden und wobei die Nano-Säulen 314 in der Anordnung von Nano-Säulen in einer Seite-an-Seite-Anordnungsbeziehung relativ zueinander eine erste Zwischenraum-Abmessung aufweisen (z. B. die Zwischenraum-Abmessung G1 und/oder G2 in 10A). Bei Block 1325 wird die erste Zwischenraum-Abmessung auf eine zweite Zwischenraum-Abmessung verringert (z. B. die Zwischenraum-Abmessung G5), indem die Oxidschicht 316 auf der Anordnung 320 von Nano-Säulen angeordnet wird.
  • Die Resist-Schicht wird durch wenigstens eine von einer Elektronenstrahl-Lithographie und/oder einer Nanoimprint-Lithographie oder einer anderen Form einer Lithographie zu der Struktur (der Resist-Struktur 308) der Anordnung 320 von Nano-Säulen strukturiert.
  • Das Verwenden der Resist-Schicht für eine Strukturierung der Hartmasken-Schicht zu der Struktur der Anordnung von Nano-Säulen weist das Durchführen eines reaktiven Ionenätz-Vorgangs auf, um die Hartmaske zu der Struktur (der Hartmasken-Struktur 312) der Anordnung 320 von Nano-Säulen zu ätzen.
  • Das Strukturieren des Substrats 302 zu der Struktur der Anordnung von Nano-Säulen derart, dass die Anordnung von Nano-Säulen gebildet wird, weist das Durchführen eines reaktiven Ionenätz-Vorgangs auf, um das Substrat zu der Anordnung 320 von Nano-Säulen zu ätzen.
  • Ein Verringern der ersten Zwischenraum-Abmessung (z. B. der Zwischenraum-Abmessung G1 und G2) auf die zweite Zwischenraum-Abmessung (die Zwischenraum-Abmessung G5) durch Anordnen der Oxidschicht 316 auf der Anordnung 320 von Nano-Säulen weist ein Verringern der zweiten Zwischenraum-Abmessung auf (z. B. auf weniger als 300 Nanometer, auf weniger als 100 Nanometer etc.).
  • Ein Verringern der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht auf der Anordnung von Nano-Säulen bewirkt, dass jede der Nano-Säulen eine gleichmäßige Form aufweist, und bewirkt, dass die zweite Zwischenraum-Abmessung überall in der Anordnung von Nano-Säulen für die Seite-an-Seite-Anordnungsbeziehung der Nano-Säulen gleichmäßig ist (wie in den 10A und 10B gezeigt). Vor einer Verringerung der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht weisen die Nano-Säulen in einer Mitte der Nano-Säulen eine in einer Größenordnung von Nanometern nach innen gebogene Form auf. Durch ein Verringern der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht wird sowohl die nach innen gebogene Form in der Mitte gefüllt als auch die Nano-Säulen zu einer zylinderartigen Form begradigt.
  • Bei einer Abscheidung handelt es sich um irgendeinen Prozess, der ein Material auf dem Wafer aufwächst, diesen beschichtet oder auf andere Weise ein Material auf den Wafer transferiert. Verfügbare Technologien beinhalten unter anderen thermische Oxidation, physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), elektrochemische Abscheidung (ECD), Molekularstrahlepitaxie (MBE) und in noch jüngerer Zeit atomare Schichtabscheidung (ALD), sind jedoch nicht auf diese beschränkt.
  • Bei einer Entfernung handelt es sich um irgendeinen Prozess, der ein Material von dem Wafer entfernt: Beispiele beinhalten Ätzprozesse (entweder nass oder trocken) sowie chemisch-mechanische Planarisierung (CMP) etc.
  • Bei einem Strukturieren handelt es sich um das Formen oder Verändern von abgeschiedenen Materialien, und es wird im Allgemeinen als Lithographie bezeichnet. Bei einer herkömmlichen Lithographie wird der Wafer zum Beispiel mit einer Chemikalie beschichtet, die als ein Photoresist bezeichnet wird; dann fokussiert eine Vorrichtung, die als ein Stepper bezeichnet wird, eine Maske, richtet diese aus und bewegt sie, belichtet ausgewählte Bereiche des Wafers darunter mit Licht mit einer kurzen Wellenlänge; die belichteten Bereiche werden mittels einer Entwicklerlösung entfernt. Nach einem Ätzen oder einer anderen Bearbeitung wird das verbliebene Photoresist entfernt. Ein Strukturieren beinhaltet außerdem Elektronenstrahl-Lithographie, Nanoimprint-Lithographie sowie reaktives Ionenätzen.
  • Der Ablaufplan und die Blockschaubilder in den Figuren stellen die Architektur, die Funktionalität sowie den Betrieb von möglichen Ausführungen von Systemen, Verfahren und Computerprogrammprodukten gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung dar. In dieser Hinsicht kann jeder Block in dem Ablaufplan oder den Blockschaubildern ein Modul, ein Segment oder einen Bereich von Anweisungen darstellen, der eine oder mehrere ausführbare Anweisungen für ein Implementieren der spezifizierten logischen Funktion(en) aufweist. Bei einigen alternativen Ausführungen können die in dem Block vermerkten Funktionen in einer anderen Reihenfolge auftreten als in den Figuren vermerkt. In Abhängigkeit von der involvierten Funktionalität können zum Beispiel zwei Blöcke, die in Aufeinanderfolge gezeigt sind, in Wirklichkeit im Wesentlichen gleichzeitig ausgeführt werden, oder die Blöcke können manchmal in der umgekehrten Reihenfolge ausgeführt werden. Es ist außerdem anzumerken, dass jeder Block der Blockschaubilder und/oder der Ablaufplan-Darstellung sowie Kombinationen von Blöcken in den Blockschaubildern und/oder in der Ablaufplan-Darstellung durch Systeme auf der Grundlage einer Hardware für einen speziellen Zweck implementiert werden können, welche die spezifizierten Funktionen oder Handlungen durchführen oder Kombinationen von Hardware für einen speziellen Zweck und Computeranweisungen ausführen.

Claims (25)

  1. Vorrichtung, die aufweist: einen Einlass, der so konfiguriert ist, dass er ein Fluid aufnimmt; einen Auslass, der so konfiguriert ist, dass das Fluid austritt; und eine Anordnung von Nano-Säulen, die mit dem Einlass und dem Auslass verbunden ist, wobei die Anordnung von Nano-Säulen so konfiguriert ist, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen; wobei die Anordnung von Nano-Säulen Nano-Säulen beinhaltet, die so angeordnet sind, dass Entitäten der Größe nach separiert werden; wobei die Nano-Säulen so angeordnet sind, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert; und wobei der Zwischenraum so gestaltet ist, dass er in der Größenordnung von Nanometern liegt.
  2. Vorrichtung nach Anspruch 1, wobei sich die eine Nano-Säule derart an einer Seite der anderen Nano-Säule befindet, dass der Zwischenraum dazwischen liegt.
  3. Vorrichtung nach Anspruch 1, wobei der Zwischenraum zwischen der einen Nano-Säule und der anderen Nano-Säule entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  4. Vorrichtung nach Anspruch 1, wobei die Anordnung von Nano-Säulen eine Oxidschicht aufweist, die auf den Nano-Säulen angebracht ist, wobei die Oxidschicht bewirkt, dass der Zwischenraum entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  5. Vorrichtung nach Anspruch 4, wobei die Oxidschicht bewirkt, dass eine Abmessung des Zwischenraums nur etwa 20 Nanometer beträgt, während der Zwischenraum gleichmäßig bleibt.
  6. Vorrichtung nach Anspruch 4, wobei die Oxidschicht bewirkt, dass eine Ungleichmäßigkeit eines Durchmessers der Nano-Säulen gleichmäßig wird, was dazu führt, dass der Zwischenraum entlang der vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  7. Vorrichtung nach Anspruch 4, wobei eine Vergrößerung einer Dicke der Oxidschicht eine Verringerung einer Abmessung des Zwischenraums bewirkt.
  8. Vorrichtung nach Anspruch 1, wobei eine Abmessung des Zwischenraums kleiner als 100 Nanometer ist.
  9. Vorrichtung nach Anspruch 1, wobei eine Abmessung des Zwischenraums kleiner als 300 Nanometer ist.
  10. Vorrichtung nach Anspruch 1, wobei eine monomolekulare Schicht an den Nano-Säulen angebracht ist, um eine Abmessung des Zwischenraums zu verringern; und wobei der Zwischenraum, der eine verringerte Abmessung aufweist, so konfiguriert ist, dass er im Vergleich dazu, wenn die monomolekulare Schicht nicht an den Nano-Säulen angebracht ist, kleinere Entitäten separiert.
  11. Verfahren für eine Bereitstellung einer Fluid-Vorrichtung, wobei das Verfahren aufweist: Bereitstellen eines Einlasses, der so konfiguriert wird, dass er ein Fluid aufnimmt; Bereitstellen eines Auslasses, der so konfiguriert wird, dass das Fluid austritt; Koppeln einer Anordnung von Nano-Säulen mit dem Einlass und mit dem Auslass, wobei die Anordnung von Nano-Säulen so konfiguriert wird, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen; wobei die Anordnung von Nano-Säulen Nano-Säulen beinhaltet, die so angeordnet werden, dass Entitäten der Größe nach separiert werden; wobei die Nano-Säulen so angeordnet werden, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert; und wobei der Zwischenraum so gestaltet ist, dass er in der Größenordnung von Nanometern liegt.
  12. Verfahren nach Anspruch 11, wobei sich die eine Nano-Säule derart an einer Seite der anderen Nano-Säule befindet, dass der Zwischenraum dazwischen liegt.
  13. Verfahren nach Anspruch 11, wobei der Zwischenraum zwischen der einen Nano-Säule und der anderen Nano-Säule entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  14. Verfahren nach Anspruch 11, wobei die Anordnung von Nano-Säulen eine Oxidschicht aufweist, die auf den Nano-Säulen angebracht ist, wobei die Oxidschicht bewirkt, dass der Zwischenraum entlang einer vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  15. Verfahren nach Anspruch 14, wobei die Oxidschicht bewirkt, dass eine Abmessung des Zwischenraums nur etwa 20 Nanometer beträgt, während der Zwischenraum gleichmäßig bleibt.
  16. Verfahren nach Anspruch 14, wobei die Oxidschicht bewirkt, dass eine Ungleichmäßigkeit eines Durchmessers der Nano-Säulen gleichmäßig wird, was dazu führt, dass der Zwischenraum entlang der vertikalen Achse der einen Nano-Säule und der anderen Nano-Säule gleichmäßig ist.
  17. Verfahren nach Anspruch 14, wobei eine Vergrößerung einer Dicke der Oxidschicht eine Verringerung einer Abmessung des Zwischenraums bewirkt.
  18. Verfahren nach Anspruch 11, wobei eine Abmessung des Zwischenraums kleiner als 100 Nanometer ist.
  19. Verfahren nach Anspruch 11, wobei eine monomolekulare Schicht an den Nano-Säulen angebracht wird, um eine Abmessung des Zwischenraums zu verringern; und wobei der Zwischenraum, der eine verringerte Abmessung aufweist, so konfiguriert wird, dass er im Vergleich dazu, wenn die monomolekulare Schicht nicht an den Nano-Säulen angebracht ist, kleinere Entitäten separiert.
  20. Verfahren für ein Bilden einer Anordnung von Nano-Säulen, wobei das Verfahren aufweist: Anordnen einer Hartmasken-Schicht auf einem Substrat; Strukturieren einer Resist-Schicht zu einer Struktur der Anordnung von Nano-Säulen, wobei die Resist-Schicht auf der Hartmasken-Schicht angeordnet wurde; Verwenden der Resist-Schicht für ein Strukturieren der Hartmasken-Schicht zu der Struktur der Anordnung von Nano-Säulen derart, dass sowohl die Resist-Schicht als auch die Hartmasken-Schicht die Struktur der Anordnung von Nano-Säulen aufweisen; Strukturieren des Substrats zu der Struktur der Anordnung von Nano-Säulen derart, dass die Anordnung von Nano-Säulen gebildet wird, wobei die Resist-Schicht und die Hartmasken-Schicht entfernt werden und wobei Nano-Säulen in der Anordnung von Nano-Säulen in einer Seite-an-Seite-Anordnungsbeziehung relativ zueinander eine erste Zwischenraum-Abmessung aufweisen; und Verringern der ersten Zwischenraum-Abmessung auf eine zweite Zwischenraum-Abmessung, indem eine Oxidschicht auf der Anordnung von Nano-Säulen angeordnet wird.
  21. Verfahren nach Anspruch 20, wobei die Resist-Schicht mittels wenigstens einer von einer Elektronenstrahl-Lithographie und einer Nanoimprint-Lithographie zu der Struktur der Anordnung von Nano-Säulen strukturiert wird.
  22. Verfahren nach Anspruch 20, wobei das Verwenden der Resist-Schicht für ein Strukturieren der Hartmasken-Schicht zu der Struktur der Anordnung von Nano-Säulen ein Durchführen eines reaktiven Ionenätz-Vorgangs aufweist, um die Hartmasken-Schicht zu der Struktur der Anordnung von Nano-Säulen zu ätzen; und wobei das Strukturieren des Substrats zu der Struktur der Anordnung von Nano-Säulen derart, dass die Anordnung von Nano-Säulen gebildet wird, ein Durchführen eines reaktiven Ionenätz-Vorgangs aufweist, um das Substrat zu der Anordnung von Nano-Säulen zu ätzen.
  23. Verfahren nach Anspruch 20, wobei das Verringern der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht auf der Anordnung von Nano-Säulen ein Verringern der zweiten Zwischenraum-Abmessung auf weniger als 100 Nanometer aufweist.
  24. Verfahren nach Anspruch 20, wobei das Verringern der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht auf der Anordnung von Nano-Säulen bewirkt, dass jede der Nano-Säulen eine gleichmäßige Form aufweist, und bewirkt, dass die zweite Zwischenraum-Abmessung überall in der Anordnung von Nano-Säulen für die Seite-an-Seite-Anordnungsbeziehung der Nano-Säulen gleichmäßig ist; wobei die Nano-Säulen, bevor die erste Zwischenraum-Abmessung durch Anordnen der Oxidschicht auf die zweite Zwischenraum-Abmessung verringert wird, in einer Mitte der Nano-Säulen eine nach innen gebogene Form in der Größenordnung von Nanometern aufweisen; wobei das Verringern der ersten Zwischenraum-Abmessung auf die zweite Zwischenraum-Abmessung durch Anordnen der Oxidschicht sowohl die nach innen gebogene Form in der Mitte füllt als auch die Nano-Säulen zu einer zylinderartigen Form begradigt.
  25. Vorrichtung, die aufweist: einen Einlass, der so konfiguriert ist, dass er ein Fluid aufnimmt; einen Auslass, der so konfiguriert ist, dass das Fluid austritt; eine Anordnung von Nano-Säulen, die mit dem Einlass und mit dem Auslass verbunden ist, wobei die Anordnung von Nano-Säulen so konfiguriert ist, dass dem Fluid ermöglicht wird, von dem Einlass zu dem Auslass zu strömen; wobei die Anordnung von Nano-Säulen Nano-Säulen beinhaltet, die so angeordnet sind, dass Entitäten der Größe nach separiert werden; wobei die Nano-Säulen so angeordnet sind, dass sie einen Zwischenraum aufweisen, der eine Nano-Säule von einer anderen Nano-Säule separiert; und wobei der Zwischenraum so gestaltet ist, dass er in der Größenordnung von Nanometern liegt; und eine monomolekulare Schicht, die an den Nano-Säulen angebracht ist, wobei die monomolekulare Schicht eine Abmessung des Zwischenraums verringert.
DE112015004553.8T 2014-11-26 2015-11-17 Säulen-Anordnungs-Struktur mit gleichmäßigen Nanometer-Zwischenräumen mit einem hohen Aspekt-Verhältnis Pending DE112015004553T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462084649P 2014-11-26 2014-11-26
US62/084,649 2014-11-26
US14/697,095 2015-04-27
US14/697,095 US9636675B2 (en) 2014-11-26 2015-04-27 Pillar array structure with uniform and high aspect ratio nanometer gaps
PCT/IB2015/058889 WO2016083941A1 (en) 2014-11-26 2015-11-17 Pillar array structure with uniform and high aspect ratio nanometer gaps

Publications (1)

Publication Number Publication Date
DE112015004553T5 true DE112015004553T5 (de) 2017-06-29

Family

ID=56009266

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112015004553.8T Pending DE112015004553T5 (de) 2014-11-26 2015-11-17 Säulen-Anordnungs-Struktur mit gleichmäßigen Nanometer-Zwischenräumen mit einem hohen Aspekt-Verhältnis

Country Status (6)

Country Link
US (3) US9636675B2 (de)
JP (1) JP2018506264A (de)
CN (1) CN107076763B (de)
DE (1) DE112015004553T5 (de)
GB (1) GB2548723A (de)
WO (1) WO2016083941A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11548000B2 (en) 2018-11-28 2023-01-10 International Business Machines Corporation Structures for automated, multi-stage processing of nanofluidic chips

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9636675B2 (en) 2014-11-26 2017-05-02 International Business Machines Corporation Pillar array structure with uniform and high aspect ratio nanometer gaps
US10507466B2 (en) 2016-04-27 2019-12-17 International Business Machines Corporation Metal assisted chemical etching for fabricating high aspect ratio and straight silicon nanopillar arrays for sorting applications
CN107469478B (zh) * 2016-06-07 2023-06-06 苏州苏瑞膜纳米科技有限公司 流体处理装置及其制备方法
JP6843420B2 (ja) * 2016-08-22 2021-03-17 国立大学法人東京工業大学 微粒子分離デバイスおよび微粒子の分離方法
US10253350B2 (en) 2016-09-20 2019-04-09 International Business Machines Corporation Separation of molecules using nanopillar arrays
US10010883B2 (en) * 2016-09-20 2018-07-03 International Business Machines Corporation Deterministic lateral displacement arrays
US10471425B2 (en) 2017-02-16 2019-11-12 International Business Machines Corporation Automated machine for sorting of biological fluids
WO2018218181A1 (en) * 2017-05-25 2018-11-29 Rutgers, The State University Of New Jersey Anchored-liquid stationary phase for separation and filtration systems
BE1025524B1 (nl) 2017-09-04 2019-04-05 PharmaFluidics N.V. Chemische reactoren
US11352504B2 (en) 2017-09-15 2022-06-07 Asahi Kasei Kabushiki Kaisha Metal particle annular structure, insulator-coated metal particle annular structure, and composition
US10946380B2 (en) 2018-01-19 2021-03-16 International Business Machines Corporation Microfluidic chips for particle purification and fractionation
US20190226953A1 (en) 2018-01-19 2019-07-25 International Business Machines Corporation Microscale and mesoscale condenser devices
US11458474B2 (en) 2018-01-19 2022-10-04 International Business Machines Corporation Microfluidic chips with one or more vias
US11192101B2 (en) 2018-08-28 2021-12-07 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
US11084032B2 (en) 2018-08-28 2021-08-10 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
US11440002B2 (en) 2018-10-23 2022-09-13 International Business Machines Corporation Microfluidic chips with one or more vias filled with sacrificial plugs
US11033901B2 (en) 2018-10-23 2021-06-15 International Business Machines Corporation Biomarker detection using integrated purification-detection devices
US10967375B2 (en) 2018-10-23 2021-04-06 International Business Machines Corporation Microfluidic devices with multiple inlets and outlets
US10685906B2 (en) 2018-11-13 2020-06-16 International Business Machines Corporation Electrically conductive deterministic lateral displacement array in a semiconductor device
US11173486B2 (en) 2019-02-13 2021-11-16 International Business Machines Corporation Fluidic cavities for on-chip layering and sealing of separation arrays
TWI736859B (zh) * 2019-03-18 2021-08-21 矽品精密工業股份有限公司 電子封裝件及其製法
CN113996360B (zh) * 2021-11-05 2023-02-21 中山大学 捕获循环肿瘤细胞的超材料微流控芯片及其制备方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5427663A (en) 1993-06-08 1995-06-27 British Technology Group Usa Inc. Microlithographic array for macromolecule and cell fractionation
US6685810B2 (en) * 2000-02-22 2004-02-03 California Institute Of Technology Development of a gel-free molecular sieve based on self-assembled nano-arrays
US7014747B2 (en) 2001-06-20 2006-03-21 Sandia Corporation Dielectrophoretic systems without embedded electrodes
JP3603886B2 (ja) * 2001-08-03 2004-12-22 日本電気株式会社 分離装置およびその製造方法
CA2396408C (en) 2001-08-03 2006-03-28 Nec Corporation Fractionating apparatus having colonies of pillars arranged in migration passage at interval and process for fabricating pillars
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US7217562B2 (en) 2002-04-16 2007-05-15 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
WO2004037374A2 (en) 2002-10-23 2004-05-06 The Trustees Of Princeton University Method for continuous particle separation using obstacle arrays asymmetrically aligned to fields
WO2004083823A1 (ja) * 2003-03-19 2004-09-30 Nec Corporation マイクロチップならびに試料抽出方法、試料分離方法、試料分析方法、および試料回収方法
JP4412071B2 (ja) 2004-06-28 2010-02-10 株式会社島津製作所 フィルター、その製造方法およびそのフィルターを用いたマイクロチップ
JP2006087372A (ja) * 2004-09-24 2006-04-06 Fuji Photo Film Co Ltd 核酸の分離精製方法を行うためのマイクロデバイス
US20070196820A1 (en) * 2005-04-05 2007-08-23 Ravi Kapur Devices and methods for enrichment and alteration of cells and other particles
JP2006300726A (ja) * 2005-04-20 2006-11-02 Hokkaido Univ フォトニック結晶集積型分離・計測デバイス
US20070090026A1 (en) * 2005-10-06 2007-04-26 Jongyoon Han Continuous biomolecule separation in a nanofilter
EP2481815B1 (de) * 2006-05-11 2016-01-27 Raindance Technologies, Inc. Mikrofluidische Vorrichtungen
EP2589668A1 (de) * 2006-06-14 2013-05-08 Verinata Health, Inc Analyse seltener Zellen mittels Probentrennung und DNA-Etiketten
JP4935227B2 (ja) * 2006-08-02 2012-05-23 ソニー株式会社 温度検出回路およびその動作方法、並びに半導体装置
WO2008097360A2 (en) 2006-09-14 2008-08-14 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Polymeric nanopillars and nanotubes, their manufacture and uses
EP2140257B1 (de) 2007-04-17 2010-10-06 Nxp B.V. Flüssigkeitstrennungsstruktur und verfahren zur herstellung einer flüssigkeitstrennungsstruktur
JP2010533871A (ja) 2007-07-16 2010-10-28 カリフォルニア インスティチュート オブ テクノロジー 標的分子を検出するためのアレイ、基板、装置、方法、及び、システム
ES2628181T3 (es) 2008-07-24 2017-08-02 The Trustees Of Princeton University Dispositivo de matriz de choque que tiene espacios de separación asimétricos para la segregación de partículas
US20120037544A1 (en) * 2009-04-23 2012-02-16 Logos Energy, Inc. Lateral displacement array for microfiltration
WO2013126774A2 (en) 2012-02-24 2013-08-29 President And Fellows Of Harvard College Microfluidic devices for capture of target species
US9478429B2 (en) 2012-03-13 2016-10-25 Massachusetts Institute Of Technology Removable templates for directed self assembly
WO2014052989A2 (en) * 2012-09-28 2014-04-03 Vibrant Holdings, Llc Methods, systems, and arrays for biomolecular analysis
JP2014173934A (ja) * 2013-03-07 2014-09-22 Toshiba Corp 半導体マイクロ分析チップ及びその製造方法
US9636675B2 (en) 2014-11-26 2017-05-02 International Business Machines Corporation Pillar array structure with uniform and high aspect ratio nanometer gaps

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11548000B2 (en) 2018-11-28 2023-01-10 International Business Machines Corporation Structures for automated, multi-stage processing of nanofluidic chips

Also Published As

Publication number Publication date
GB201706188D0 (en) 2017-05-31
CN107076763A (zh) 2017-08-18
JP2018506264A (ja) 2018-03-08
US10393642B2 (en) 2019-08-27
GB2548723A (en) 2017-09-27
US20170205329A1 (en) 2017-07-20
US20160146717A1 (en) 2016-05-26
CN107076763B (zh) 2018-06-19
US9868119B2 (en) 2018-01-16
WO2016083941A1 (en) 2016-06-02
US9636675B2 (en) 2017-05-02
US20160144361A1 (en) 2016-05-26

Similar Documents

Publication Publication Date Title
DE112015004553T5 (de) Säulen-Anordnungs-Struktur mit gleichmäßigen Nanometer-Zwischenräumen mit einem hohen Aspekt-Verhältnis
DE112015005304B4 (de) Größenbasierte Separation von Objekten in kontinuierlichem Fluss bis in den Nanometerbereich hinab mittels Nanosäulen-Arrays
DE69829181T2 (de) Verbesserte trennsäulen und verfahren zur herstellung der verbesserten trennsäulen
DE69936719T2 (de) Mikro-fluidvorrichtung
DE69824586T2 (de) Probenträger hoher dichte für die analyse biologischer proben
DE112011103053B4 (de) Steuerung der Abmessungen in Nanoporen- und Nanofluidischen Einheiten mit Rückkopplung
DE112019000463B4 (de) Mikrofluid-chips zum reinigen und fraktionieren von partikeln
DE112014001600B4 (de) Herstellung von Mikrofluidchips, die Elektroden auf einer Höhe mit Mikrokanalwänden aufweisen
EP2048497B1 (de) Chromatographiesäule und verfahren zur herstellung davon
DE112015000920B4 (de) Mikrofluid-Chip mit pyramidenförmigen, Perlen einfangenden Hohlräumen sowie Herstellungsverfahren desselben
DE10328730B4 (de) Verfahren zum Herstellen eines Microarrays und Vorrichtung zum Versehen eines Trägers für ein Microarray mit Beschichtungsstoffen
DE112014000923T5 (de) Mikrofluidchip mit dielektrophoretischen Elektroden, die sich in einem dydrophilen Fließweg erstrecken
DE112015003450T5 (de) Vorrichtung und System für die Analyse von Biopolymeren
DE112017004280B4 (de) Mikrofluidik-Chip mit Perlenintegrationssystem
DE112007002550T5 (de) Fotolacke für EUV basierend auf photonischen Kristallen
DE10120035A1 (de) Verfahren und Vorrichtung zur Manipulation kleiner Flüssigkeitsmengen auf Oberflächen
JP4661125B2 (ja) 成分分離素子およびその製造方法
DE10135504A1 (de) Filterstruktur mit Nanoporen
DE112013001196B4 (de) Verfahren und Vorrichtungen zur Positionierung von Nanoobjekten
DE102016220803A1 (de) Integrierte nanofluidische Arrays für Kolloidtrennung mit hoher Kapazität
EP1866089B1 (de) Mikrofluidvorrichtung zur separation von emulsionen
WO2021122984A1 (de) Mikroarray
CN118028102A (zh) 级联型分子筛选阵列的芯片、工艺和外泌体分离方法
DE10206420A1 (de) Bedruckter Probenträger, ein Verfahren zu dessen Herstellung sowie dessen Verwendung
DE102007042545A1 (de) Mikrofluidisches Verfahren zur Herstellung von biologischen Belegungsmustern auf Oberflächen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01N0033680000

Ipc: G01N0030600000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G01N0030600000

Ipc: G01N0030000000

R016 Response to examination communication
R016 Response to examination communication