DE112013000362T5 - Elektrisch programmierbare back-end-sicherung - Google Patents

Elektrisch programmierbare back-end-sicherung Download PDF

Info

Publication number
DE112013000362T5
DE112013000362T5 DE112013000362.7T DE112013000362T DE112013000362T5 DE 112013000362 T5 DE112013000362 T5 DE 112013000362T5 DE 112013000362 T DE112013000362 T DE 112013000362T DE 112013000362 T5 DE112013000362 T5 DE 112013000362T5
Authority
DE
Germany
Prior art keywords
beol
fuse
conductive
trench
cavity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112013000362.7T
Other languages
English (en)
Other versions
DE112013000362B4 (de
Inventor
c/o IBM Corp. Bonilla Griselda
c/o IBM Corp. Chanda Kaushik
c/o IBM Corp. Choi Samuel S.
IBM Corp. Grunow Stephan
c/o IBM Corp. Lustig Naftali E.
c/o IBM Corp. Moy Dan
c/o IBM Corp. Simon Andrew H.
c/o IBM Corp. Bao Junjing
c/o IBM Corp. Filippi Ronald G.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112013000362T5 publication Critical patent/DE112013000362T5/de
Application granted granted Critical
Publication of DE112013000362B4 publication Critical patent/DE112013000362B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H85/00Protective devices in which the current flows through a part of fusible material and this current is interrupted by displacement of the fusible material when this current becomes excessive
    • H01H85/02Details
    • H01H85/0241Structural association of a fuse and another component or apparatus
    • H01H2085/0275Structural association with a printed circuit board
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

Es wird eine BEOL-E-Sicherung offenbart, die zuverlässig im Durchkontakt durchbrennt und selbst in den BEOL-Schichten mit engsten Abständen gebildet werden kann. Die BEOL-E-Sicherung kann mit einem Line-First-Dual-Damascene-Prozess gebildet werden, um einen sublithografischen Durchkontakt zu ergeben, der das programmierbare Element der E-Sicherung ist. Der sublithografische Durchkontakt kann durch Standard-Lithografie strukturiert werden, und der Querschnitt des Durchkontakts kann dem Sollprogrammierstrom entsprechend abgestimmt werden.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft elektronische Sicherungen (E-Sicherungen) für integrierte Schaltungen. Insbesondere betrifft die vorliegende Erfindung eine E-Sicherung, die in Back-End-Of-Line(BEOL)-Metall-Verbindungsschichten gebildet sind.
  • Hintergrund der Erfindung
  • In fortschrittlichen integrierten Schaltkreistechnologien sind E-Sicherungen auf der polykristallinen Silicium(PC)-Ebene realisiert worden. Während der Programmierung kann ein hoher Stromimpuls von kurzer Dauer durch eine E-Sicherungsstruktur geleitet werden, um ein Silicid irreversibel auf die Oberseite des PC migrieren zu lassen, was eine Änderung im Widerstand verursacht und dadurch als programmierbare Sicherung wirkt. Mit fortschreitender Abwärtsskalierung wird es bei bestimmten Einheiten-Integrationssystemen mit High-k-Metall-Gate-Prozessen jedoch immer schwieriger, E-Sicherungen auf der PC-Ebene zu realisieren. Daher gibt es ein Bestreben, E-Sicherungen auf den Metall-Verbindungsebenen (d. h., eine Back-End- oder ”BEOL-E-Sicherung”) zu realisieren und das Phänomen der Elektromigration (EM) zur Programmierung der Sicherungen zu nutzen.
  • Eine herkömmliche BEOL-E-Sicherungsstruktur schließt einen Durchkontakt 124 ein, der eine obere Leitung 122 mit einer unteren Leitung 112 verbindet, wie in 1A gezeigt. Die Leitung 122 kann geschaltet sein, um als Anode der E-Sicherung zu wirken, und die Leitung 112 als Kathode, mit einem Elektronenfluss von der Leitung 112 durch den Durchkontakt 124 zur Leitung 122. Der Durchkontakt 124 kann ein einzelner Durchkontakt oder ein gestapelter Durchkontakt sein. Bei der Realisierung einer BEOL-E-Sicherung gibt es mehrere Herausforderungen. Zum einen kann die Programmierung der BEOL-E-Sicherung mehr Strom erfordern als die PC-E-Sicherung, was mindestens zum Teil darauf zurückzuführen ist, dass die Liner-Materialien, die bei Kupfer-Verbindungen verwendet werden, wie z. B. Tantal (Ta) und Tantalnitrid (TaN), zusammen mit dem Kupfer durchgebrannt werden müssen, um die korrekte Sicherungsprogrammierung zu erreichen. Die US-Patentveröffentlichung 2005/0285222 A1 von Thei et al. schlägt vor, die Programmierung einer E-Sicherung durch absichtliche Fehlausrichtung des Durchkontakts 224 gegenüber der unteren Leitung 212 (oder der oberen Leitung 222 oder beiden gegenüber) bei niedrigerem Strom zu ermöglichen, wie in 2A gezeigt (die 14a von Thei et al. entspricht). Bei einem Versatz um einen Abstand ”D” wird die Kontaktfläche X an der Durchkontakt/Leitung-Grenzfläche reduziert, was theoretisch die Stromdichte an dieser Grenzfläche konzentriert. Dieser Ansatz ist jedoch nicht zuverlässig, da die Kontaktfläche während der Verarbeitung auch vertikal verlaufen kann, um die Fläche Y einzuschließen (siehe 2B). Dieser versetzte Durchkontaktentwurf macht die Struktur auch für Leckströme zu benachbarten Schaltungselementen anfällig, wodurch die Zuverlässigkeit und die Ausbeute gesenkt werden. Zudem erfordert eine derartige Fehlausrichtung gegenüber der oberen Leitung 222 einen Single-Damascene-Prozess, was die Fertigungskosten erhöht.
  • Zusätzlich dazu, dass sie einen relativ hohen Programmierstrom erfordert, ist ein weiteres Problem der herkömmlichen BEOL-E-Sicherung die Steuerung des Orts des Hohlraums. Strukturelemente auf Leitungsebene, die benachbart zu E-Sicherungselementen sind, können recht nahe liegen, sodass eine darüberliegende Deckschicht (nicht gezeigt) oder ein darüberliegendes Dielektrikum 125 beschädigt werden kann, wenn ein Programmierstromstoß durch eine BEOL-E-Sicherung die Öffnung eines Hohlraums in der Leitung 122 bewirkt, und dies kann Leckströme zu den benachbarten Strukturelementen auf Leitungsebene ermöglichen. Dass der Hohlraum im Durchkontakt 124 auftritt, wäre zu bevorzugen und kann gefördert werden, indem gewährleistet wird, dass der Programmierstromstoß im Durchkontakt eine größere Stromdichte erzeugt als in anderen Teilen des Stromwegs. Eine Option ist, den Durchkontakt 124 so zu entwerfen, dass er einen kleineren Querschnitt als die Leitung 122 aufweist, doch bei den engsten Abständen ist die Lithografie nicht in der Lage, einen derartigen Durchkontakt mit kleinerem Querschnitt' zu bilden, wenn die Leitungen mit der minimalen lithografischen Abmessung hergestellt wurden.
  • In integrierten Schaltungen des Stands der Technik wird die am meisten fortgeschrittene Lithografie, die verfügbar ist, benutzt, um Halbleitereinheiten sowie die untersten Verbindungsebenen (z. B. ”M1” und ”M2”) zu bilden. Die kleinste Verdrahtungsabmessung (auch als kritische Abmessung bzw. 'CD' oder 'Groundrule'-Abmessung bezeichnet), die lithografisch strukturierbar ist, steht mit den durch diese Lithografie hergestellten Einheiten-Abmessungen in Wechselbeziehung. Tabelle 1 zeigt die erwartete Einheiten-Gate-Länge und den entsprechenden Verdrahtungsabstand in M1 gemäß der ”International Technology Roadmap for Semiconductors, 2010 update”, die hiermit durch Bezugnahme aufgenommen wird. Tabelle 1. Anforderungen an die Verbindungstechnologie nach INTC6 für eine MPU
    Produktionsjahr 2011 2012 2013 2014 2015 2016
    Gate-Lange (nm) 24,2 22,09 20,17 18,41 16,80 15,34
    M1-Verdrahtungsabstand (nm) 86 72 61 54 48 43
    Sperrschicht/Cladding-Dicke (für Cu-M1-Verdrahtung) (nm) 2,9 2,6 2,4 2,1 1,9 1,7
  • Der minimale bzw. lithografische Abstand, wie in 1B gezeigt (zumindest in den Verbindungsschichten mit den engsten Abständen), ist die Summe der minimalen Linienbreite ”W” (Groundrule-Linienbreite) und des minimalen Zwischenraums ”S” (Groundrule-Raum) zwischen benachbarten Strukturmerkmalen.
  • Eine Struktur, die eine Abmessung kleiner als einen halben Abstand aufweist, wird hierin als 'sublithografische Struktur” oder ”Sub-Groundrule-Struktur” bezeichnet.
  • Verschiedene Techniken wurden vorgeschlagen, um einen sublithografischen Durchkontakt zu bilden, zum Beispiel offenbart das US-Patent 7696085 an Li et al. einen sublithografischen Durchkontakt in einer Dual-Damascene-Metall-Verbindungsstruktur, die durch Strukturieren einer Durchkontaktöffnung mit einer Standardabmessung, dann durch Auffüllen der Öffnung mit selbstorganisierenden Blockpolymeren (”SABC”) gebildet wird. Das Abscheiden und Strukturieren der SABC erhöht die Zahl der Prozessschritte, die Komplexität und die Kosten.
  • Eine andere Technik wird in ”Fabrication of Nanoscale Vias by Offset Patterning” von Chi Ho Lau und S. W. Ricky Lee (Proceedings of MicroNano08, 3.–5. Juni 2008, Hong Kong) offenbart. Dieser Technik gemäß wird in einer ersten Dielektrikumsschicht ein erster Durchkontakt einer Durchkontaktmaske entsprechend mit einer fotolithografischen Standardgröße gebildet. Dann werden ein Ätzstopp und eine zweite Dielektrikumsschicht abgeschieden. Das zweite Dielektrikum wird ebenso wie das erste strukturiert, mit der Ausnahme, dass die Maske versetzt wird. Der der Überlappung der Strukturen entsprechend geätzte Durchkontakt weist einen reduzierten Querschnitt auf. Dieser Prozess ist nicht wünschenswert, da er zwei Strukturierungsschritte für den Durchkontakt erfordert.
  • Es besteht weiterhin ein Bedarf an einer kostengünstigen BEOL-E-Sicherung, die zuverlässig durch eine Elektromigration programmierbar ist, die im Durchkontakt einen Hohlraum erzeugt.
  • Kurzdarstellung der Erfindung
  • Der vorliegenden Erfindung gemäß kann selbst in den BEOL-Schichten mit engsten Abständen eine BEOL-E-Sicherung gebildet werden, die im Durchkontakt zuverlässig durchbrennt. In einem ersten Aspekt stellt die Erfindung eine BEOL-E-Sicherung bereit, die einen leitfähigen Durchkontakt einschließt, der eine sublithografische Abmessung aufweist, wobei dieser Durchkontakt zwischen einem ersten leitfähigen BEOL-Strukturelement und einem zweiten leitfähigen BEOL-Strukturelement leitfähig verbunden ist, wobei das erste leitfähige BEOL-Strukturelement als Kathode geschaltet ist und das zweite leitfähige BEOL-Strukturelement als Anode geschaltet ist. Eines oder beide der leitfähigen BEOL-Strukturelemente können eine Groundrule-Abmessung aufweisen. Das zweite leitfähige BEOL-Strukturelement kann innerhalb der Verbindungsschicht direkt über dem ersten leitfähigen BEOL-Strukturelement liegen. In einigen Ausführungsformen weist der leitfähige Durchkontakt einen Stapel Elemente auf, wobei mindestens eines dieser Elemente eine sublithografische Abmessung aufweist.
  • Einem anderen Aspekt gemäß stellt die Erfindung ein Paar Lithografiemasken bereit, wobei eine erste Maske des Paars eine Grabenstruktur verkörpert und eine zweite Maske des Paars eine Durchkontaktstruktur verkörpert, wobei die Durchkontaktstruktur so positioniert ist, dass sie die Grabenstruktur nur partiell überlappt. Die Durchkontaktstruktur kann so positioniert sein, dass sie die Seite des Grabens oder das Ende des Grabens partiell überlappt.
  • Einem anderen Aspekt gemäß stellt die Erfindung ein Verfahren zum Bilden einer BEOL-E-Sicherung bereit. Das Verfahren erfordert ein Bereitstellen einer Struktur, die eine Hartmaske über einer zweiten Dielektrikumsschicht aufweist, wobei diese zweite Dielektrikumsschicht über einer ersten Dielektrikumsschicht angeordnet ist, in welcher eine leitfähige Leitung angeordnet ist, dann ein Bilden einer ersten Öffnung durch die Hartmaske, ein Strukturieren einer zweiten Öffnung, welche die erste Öffnung partiell überlappt, um einen Überlappungsabschnitt zu bilden, wobei der Überlappungsabschnitt eine Sub-Groundrule-Abmessung aufweist, und ein Ätzen des Überlappungsabschnitts durch die zweite Dielektrikumsschicht, um einen Durchkontakt-Hohlraum zu bilden. In Ausführungsformen können eine oder beide von der ersten Öffnung und der zweiten Öffnung eine Groundrule-Abmessung aufweisen.
  • Einer weiteren Ausführungsform gemäß stellt die vorliegende Erfindung ein Verfahren zum Programmieren einer BEOL-E-Sicherung bereit, wobei das Verfahren ein Bereitstellen einer BEOL-Struktur aufweist, die einen sublithografischen Durchkontakt einschließt, der zwischen einem als Kathode geschalteten ersten leitfähigen Strukturelement und einem als Anode geschalteten zweiten leitfähigen Strukturelement verbunden ist; und ein Bilden eines Hohlraums in dem sublithografischen Durchkontakt durch Anlegen eines Stroms zwischen der Anode und der Kathode.
  • Kurze Beschreibung der Zeichnungen
  • Die Strukturelemente und Elemente von Ausführungsformen der vorliegenden Erfindung werden im Folgenden in Verbindung mit den beigefügten Figuren beschrieben.
  • 1A veranschaulicht eine herkömmliche Back-End-E-Sicherung.
  • 1B veranschaulicht den ”Abstand” und die ”kritische Abmessung” für eine gegebene Lithografie.
  • 2A und 2B veranschaulichen eine Back-End-E-Sicherung des Stands der Technik.
  • 3A und 3B zeigen Seitenansichten, jeweils parallel und senkrecht zur Hauptachse der oberen Leitung, einer Ausführungsform der vorliegenden BEOL-E-Sicherung.
  • 3C ist ein Grundriss einer Ausführungsform der BEOL-E-Sicherung der vorliegenden Erfindung.
  • 4A bis 4F veranschaulichen ein Verfahren zum Bilden einer Ausführungsform der BEOL-E-Sicherung der vorliegenden Erfindung.
  • 5A, 5B, 6, 7 und 8 zeigen verschiedene Ausführungsformen einer BEOL-E-Sicherung gemäß der vorliegenden Erfindung.
  • Ausführliche Beschreibung der Erfindung
  • Die vorliegende Erfindung stellt eine Back-End-Of-the-Line(BEOL)-Sicherungsstruktur bereit, die im Durchkontakt zuverlässig durchbrennt und selbst in BEOL-Schichten mit engsten Abständen gebildet werden kann. Die vorliegende E-Sicherung schließt einen sublithografischen Durchkontakt ein, der zwischen zwei leitfähigen BEOL-Strukturelementen wie z. B. Leitungen verbunden ist, wobei ein erstes dieser Strukturelemente als Kathode geschaltet ist und ein zweites dieser Strukturelemente als Anode geschaltet ist. Der Querschnitt dieses sublithografischen Durchkontakts kann dem Sollprogrammierstrom entsprechend abgestimmt werden.
  • Es versteht sich, dass, wenn ein erstes Element wie eine Schicht, ein Gebiet oder ein Substrat als ”auf” oder ”über” einem zweiten Element liegend bezeichnet wird, es direkt auf diesem zweiten Element liegen kann oder auch dazwischenliegende Elemente vorhanden sein können. Demgegenüber sind keine dazwischenliegenden Elemente vorhanden, wenn ein Element als ”direkt auf” oder ”direkt über” einem zweiten Element liegend bezeichnet wird. Es versteht sich auch, dass, wenn ein Element als mit einem anderen Element ”verbunden” oder ”gekoppelt” beschrieben wird, es direkt mit dem anderen Element verbunden oder gekoppelt sein kann oder dazwischenliegenden Elemente vorhanden sein können. Demgegenüber sind keine dazwischenliegenden Elemente vorhanden, wenn ein Element als mit einem anderen Element ”direkt verbunden” oder ”direkt gekoppelt” bezeichnet wird. Es ist anzumerken, dass gleiche Bezugszeichen in den verschiedenen Ausführungsformen gleiche Elemente bezeichnen, und dass die Zeichnungen nicht unbedingt maßstabgerecht gezeichnet sind.
  • Nun auf 3A und 3B Bezug nehmend, ist die vorliegende E-Sicherungsstruktur in Metall-Verbindungsschichten gebildet, die auf einem Halbleitersubstrat 300 gebildet sind. Eine oder mehrere Halbleitereinheiten (nicht gezeigt) können in oder auf einer Oberseite 301 des Substrats gebildet sein. Eine sogenannte Middle-Of-the-Line(MOL)-Schicht 302, die Strukturen wie z. B. Gate-Kontakte einschließt, die durch eine Schicht Passivierungsmaterial gebildet sind, trennt die Oberseite 301 typischerweise von einer ersten Verbindungsschicht 310 (”M1”), welche eine leitfähige Leitung 312 im Graben 311 einschließt, der im Zwischenschichtdielektrikum (ILD) 315 gebildet ist. In M1 gebildete Leitungen können leitfähig mit den Gate-Kontakten verbunden sein, wobei diese Kontakte Wolfram-Stifte sein können. Mindestens eine zweite Verbindungsschicht 320 ist über der Schicht 310 angeordnet und schließt eine leitfähige Leitung 322 im Graben 321 und einen leitfähigen Durchkontakt 324 im Durchkontakt-Hohlraum 323 ein, der im ILD 325 gebildet ist. Das ILD 325 kann durch eine Deckschicht 319 vom ILD 315 getrennt sein.
  • Die direkt über der M1-Schicht liegende Verbindungsschicht kann als die M2-Schicht bezeichnet werden. Wie erwähnt, ist die leitfähige Leitung 322 in ”mindestens einer zweiten Verbindungsschicht” gebildet, die über einer ersten Verbindungsschicht 310 liegt. Eine erste Verbindungsschicht kann als die M1-Schicht bezeichnet werden, und die nächste darüberliegende Verbindungsschicht als die M2-Schicht, usw. Innerhalb einer gegebenen Mx-Schicht sind die Mx-Leitungen und die Vx-1-Durchkontakte gebildet. Ein Vx-1-Durchkontakt kann zum Beispiel eine Mx-Leitung mit einer Mx-1-Leitung verbinden.
  • 3C veranschaulicht die Strukturen, die durch ein Paar Masken erzeugt werden, welche auf einem Grundriss der Mx-Strukturmerkmale (x = 1, 2, 3 usw.) die Mx+1-Gräben und Vx-Durchkontakte bilden. Wie in 3C gezeigt, liegt der Graben 321 über dem Graben 311. Der Hohlraum 323 verläuft vom Graben 321 zum Graben 311. Die Breite eines Grabens oder beider Gräben 321 und 311 ist größer oder gleich 'W', die Groundrule-Abmessung. Mindestens eine Kante 'E' des Hohlraums 323 ist koplanar oder in perfekter Ausrichtung mit einer Kante 'T' des Grabens 321. Das größere Querschnittsmaß G des Hohlraums 323 kann so groß sein wie die Groundrule-Abmessung, und das kleinere Querschnittsmaß 'L' ist kleiner als G, sodass die Querschnittsfläche des Durchkontakts 324 erheblich kleiner sein kann als die Querschnittsfläche der Leitung 322.
  • Einer Ausführungsform der Erfindung gemäß wird eine BEOL-E-Sicherung einem ”Eine-First”-Dual-Damascene-System entsprechend gebildet, das in 4A bis 4F veranschaulicht wird. 4A zeigt untere leitfähige Leitungen 412a und 412b, die in einer unteren ILD-Schicht 415 gebildet sind und von einer Deckschicht 419 bedeckt sind. Eine untere Verbindungsschicht 410 (”Mx”) ist auf einer Struktur wie z. B. einem Halbleiterwafer (nicht gezeigt) gebildet. Über der Schicht 410 sind eine zweite ILD-Schicht 425, eine Hartmaske 426 und ein Fotolack 427 gebildet. Im Fotolack 427 wird durch Standardfotolithografie eine Leitungsstruktur erzeugt. Leitungsöffnungen P, Q und R weisen eine Länge und Breite auf, die dem Chip-Entwurf entsprechen, der in einer zugehörigen Leitungsmaske (nicht gezeigt) verkörpert ist. Die Leitungsöffnungen P, Q und R können mit der kritischen Abmessung (Breite W) strukturiert sein oder breiter sein.
  • In 4B wurden die Öffnungen P, Q und R zur Hartmaske 426 übertragen, und der Fotolack 427 wurde durch eine bekannte Verarbeitung entfernt. Die Schritte bis zu diesem Punkt stimmen mit dem Line-First-Dual-Damascene-System überein, das in ”Low-k Interconnect Stack with a Novel Self-Aligned Via Patterning Process for 32 nm High Volume Manufacturing” von R. Brain et al. (Logic Technology Development, #Quality & Reliability, Intel Corp.)) offenbart wird. R. Brain et al. schlagen ein Line-first-System vor, um die Maßhaltigkeit eines strukturierten Durchkontakts aufrechtzuerhalten und die Tendenz für die Durchkontaktstruktur in einem Via-first-System, sich zu vergrößern und dadurch einen Kurzschluss mit angrenzenden Strukturelementen zu verursachen, zu vermeiden.
  • Der vorliegenden Erfindung gemäß wird der Prozess abgeändert, um auf zuverlässige Weise einen sublithografischen Durchkontakt zu bilden, statt die strukturierte Durchkontakt-Abmessung aufrechtzuerhalten. 4C zeigt eine zweite Fotolackschicht 428, die über der Hartmaske 426 gebildet ist und einer zweiten Maske entsprechend strukturiert ist, die Durchkontakte (nicht gezeigt) definiert. Die dargestellte Ausführungsform zeigt zwei Groundrule-(Breite = W)-Durchkontaktöffnungen A und B, die in den Durchkontakt-Fotolack 428 strukturiert wurden. Dem Chip-Entwurf (der durch das Maskenpaar für die entsprechende Mx+1-Leitung und den entsprechenden Mx-Durchkontakt verkörpert wird) entsprechend kann die Durchkontaktöffnung A ganz mit der Leitungsöffnung P ausgerichtet sein, während die Leitungsöffnung B der Leitungsöffnung Q gegenüber absichtlich fehlausgerichtet sein kann, um die Hartmaske um einen Abstand 'ΔW' partiell zu überlagern. Der untere Abschnitt von 4C veranschaulicht die relative Position der Öffnungen P, Q und R (als Mx+1) und A und B (als Vx), die über den Mx-Leitungen liegen. (Es ist anzumerken, dass die Durchkontaktstruktur anders als kreisrund sein kann; sie kann z. B. länglich, rechteckig, quadratisch oder unregelmäßig sein. Diese Erfindung erfordert keine spezifische Durchkontaktform, und ein Durchkontakt-Durchmesser, der hierin angegeben ist, kann das Kreisäquivalent jeder Durchkontaktform sein, die in einer Durchkontaktmaske verkörpert wird.)
  • In 4D wurde die Überlappung des Mx-Durchkontakts und des Mx+1-Leitungsöffnungspaars unverändert durch einen selektiven dielektrischen reaktiven Ionenätz(RIE)-Prozess in die ILD 425 übertragen. Die Durchkontaktöffnung A ist ganz mit der Leitungsöffnung P ausgerichtet bzw. wird von dieser umschlossen, sodass der Durchkontakt A' (durch die Leistungsfähigkeit des Ätzprozesses beschränkt) die gleiche Breite wie die Durchkontaktöffnung A aufweist. Wie erwähnt, wurden die Öffnungen A und B als Öffnungen mit Groundrule-Abmessung strukturiert, sodass der Durchkontakt A' dieselbe Groundrule-Abmessung 'W' hat und als ”Groundrule-Durchkontakt” bezeichnet werden kann. Die Durchkontaktöffnung B ist der Leitungsöffnung Q gegenüber fehlausgerichtet, sodass ein Abschnitt der Durchkontaktöffnung B von der Hartmaske 426 blockiert wird. Der Teil der Durchkontaktöffnung B, der über der Hartmaske liegt, wird nicht in das Dielektrikum 425 übertragen. Der RIE-Prozess ist so ausgelegt, dass er durch die Hartmaske gestoppt wird. Die resultierende physische Öffnung B' im Dielektrikum weist einen kleineren Querschnitt als die Durchkontaktöffnung B in der Fotolackstruktur auf. Daher hat die Durchkontaktöffnung B' eine sublithografische Abmessung und kann als 'Sub-Groundrule-Durchkontakt' bezeichnet werden. Es ist jedoch anzumerken, dass die vorliegende Erfindung sich nicht auf Durchkontaktöffnungen beschränkt, die die minimale lithografische Abmessung haben. Der sublithografische Durchkontakt B' könnte als die Überlappung der Leitungsöffnung Q und der Durchkontaktöffnung B resultieren, selbst wenn die Durchkontaktöffnung B (und/oder die Leitungsöffnung Q) größer als die Groundrule-Abmessung wäre.
  • Auch wenn die Durchkontaktätzung in 4D die Deckschicht 419 erreicht, kann die Durchkontaktätzung früher stoppen. Die Durchkontaktätzung kann sich sogar in die Deckschicht 419 hinein fortsetzen. Nun auf 4E Bezug nehmend, kann die Verarbeitung ungeachtet der Durchkontakt-Ätztiefe auf herkömmliche Weise mit der Entfernung des Durchkontakt-Fotolacks 428 fortfahren. Ein Weiterätzen kann Gräben P', Q' und R' in der ILD 425 bilden. Die anfängliche Durchkontaktätzung kann zeitlich so festgelegt sein, dass die Grabenätzung die Durchkontaktätzung ergänzt, die durch die Deckschicht 419 am Boden der Durchkontakte A' und B weitergehen kann. Die fertigen Mx+1- und Vx-Strukturelemente, die in 4F gezeigt werden, können der Standard-Dual-Damascene-Metallisierung entsprechend durch Abscheiden einer Sperr-/Liner- und Keimschicht, Kupferfüllung und Tempern und chemisch-mechanische Planarisierung gebildet werden. In Ausführungsformen kann die Sperrschicht aus PVD-Ta (N) sein; der Liner kann aus CVD-Wolfram (W), Titan (Ti), Cobalt (Co), Titannitrid (TiN), Rutheniumnitrid (RuN), Ruthenium (Ru) usw. sein; und die Keimschicht kann aus PVD-Kupfer (Cu) oder Kupfer sein, das mit einem Minderheitsanteil legiert ist, der Mangan (Mn), Aluminium (Al), Zinn (Sn), Indium (In) oder anderes sein kann. Die Kupferfüllung kann durch CVD oder Elektroplattierung erfolgen und kann Bottom-Up-Techniken einschließen, um sublithografische Hohlräume zu füllen. In Ausführungsformen kann der Liner-CVD-Prozess Cobalt aus C12H10O6(Co)2 (Dicobalt-Hexacarbonyl-Tert-Butylacetylen), Ruthenium aus Triruthenium-Dodecacarbonyl (Ru3(CO)12) oder Wolfram aus Wolfram-Hexacarbonyl abscheiden.
  • Das oben beschriebene Verfahren kann verwendet werden, um die in 3A und 3B dargestellte BEOL-E-Sicherung zu bilden, wobei die Leitung 322 als Anode geschaltet ist und die Leitung 312 als Kathode geschaltet ist. Der Durchkontakt 324 weist eine Sub-Groundrule-Abmessung auf, die dem Programmierstrom entsprechend dimensioniert werden kann. Das heißt, wieder auf 4C Bezug nehmend, wenn die Groundrule-Durchkontaktstruktur B der Leitungsöffnung Q gegenüber nur leicht fehlausgerichtet wäre, würde der Querschnitt des resultierenden Sub-Groundrule-Durchkontakts B' nur etwas kleiner als der eines Groundrule-Durchkontakts sein, z. B. im Bereich von 70 bis < 100% der Groundrule-Abmessung. Die Durchkontaktstruktur B kann die Hartmaskenkante aber um mehr überlappen, und der entworfene Strukturversatz kann angepasst werden, um ein E-Sicherungselement zu bilden, das eine beliebige sublithografische Querschnittsfläche zum Beispiel von 40% oder 50% der Groundrule-Abmessung aufweist. Der vorliegenden Erfindung gemäß kann das E-Sicherungselement einem Sollprogrammierstrom entsprechend dimensioniert werden. Beispielsweise kann bevorzugt werden, dass eine bestimmte BEOL-E-Sicherung bei einem minimalen Programmierstrom durchbrennt, um die Beanspruchung von Materialien, die den Programmierstromweg enthalten oder benachbart dazu sind, zu vermeiden. Das E-Sicherungselement kann in einem solchen Fall eine Groundrule-Durchkontaktstruktur verwenden, die nur leicht mit einer Leitungsöffnung ausgerichtet ist.
  • 3A, B und C stellen einen sublithografischen Durchkontakt dar, der Leitungen in benachbarten Verbindungsschichten (d. h., Vx, Mx und Mx+1) miteinander verbindet, diese Erfindung ist aber nicht darauf beschränkt. 5A veranschaulicht, dass ein Sub-Groundrule-Durchkontakt 524 eine darüberliegende Leitung 522 mit einer darunterliegenden Platte 512 verbinden kann. Der Durchkontakt 524 kann durch Versetzen einer Durchkontaktöffnung 529 gegenüber einer für die Leitung 522 strukturierten Hartmaske gebildet werden. Es ist anzumerken, dass die Durchkontaktöffnung 529 etwas größer als das Kleinstmaß der Lithografie sein kann, solange die Überlappung der Öffnung 529 und 522 ”sub-groundrule” ist. 5B veranschaulicht eine andere Ausführungsform, in welcher der Sub-Groundrule-Durchkontakt 534 zwischen anderen Schichten als M1 gebildet ist, z. B. zwischen Leitung 532 in M3 und Leitung 522 in M2. Wie in 6 gezeigt, kann einer weiteren Ausführungsform gemäß ein sublithografischer Durchkontakt 624 gebildet werden, indem eine Durchkontaktstruktur 629 so versetzt wird, dass sie das Ende der Leitungsstruktur 622 überlappt. Der durch solch eine 'Endüberlappung' gebildete sublithografische Durchkontakt kann aufgrund der Verkürzung der Leitungsstruktur an deren Ende mit einem niedrigeren Strom programmierbar sein als ein Durchkontakt, der durch einen entsprechenden Versatz gegenüber einer Kante einer Leitung gebildet wird. Wie erwähnt, kann eine Programmierung mit niedrigem Strom in der unmittelbaren Nähe bestimmter Einheiten vorteilhaft sein. 7 veranschaulicht eine weitere Ausführungsform, in welcher die Anode 732 und die Kathode 712 nicht in benachbarten Schichten liegen und durch einen Stapel verbunden sind, der einen normalen Durchkontakt 734, eine Zwischenleitung 722 und einen sublithografischen Durchkontakt 724 umfasst. 8 veranschaulicht noch eine Ausführungsform, in welcher die Anode 832 und die Kathode 812 durch einen Stapel verbunden sind, der einen sublithografischen Durchkontakt 834, eine Zwischenleitung 822 und einen sublithografischen Durchkontakt 824 umfasst.
  • Weitere Ausführungsformen weisen die Anode und die Kathode in der gleichen Verbindungsschicht auf, wie z. B. in M2, wobei die Anode und Kathode durch einen Stromweg, der einen sublithografischen Durchkontakt einschließt, über eine dritte Leitung verbunden sind. Um das Vorhandensein einer Korngrenze zwischen Dual-Damascene-Mx- und Vx-Strukturelementen zu nutzen, wenn diese dritte Leitung in einer Schicht über der Anode und Kathode liegt, kann die BEOL-E-Sicherung einen sublithografischen Durchkontakt zwischen der Kathode und der dritten Leitung einschließen, und wenn diese dritte Leitung in einer Schicht unter der Anode und Kathode liegt, kann die BEOL-E-Sicherung einen sublithografischen Durchkontakt zwischen der dritten Leitung und der Anode einschließen.
  • Das Substrat 300 hierin kann einen Halbleiter wie z. B. Si, SiGe, SiGeC, SiC, Ge-Legierungen, GaAs, InAs, InP aufweisen, und andere III/V- oder II/VI-Verbindungshalbleiter können verwendet werden. Zusätzlich zu diesen aufgeführten Halbleitermaterialtypen kommt die vorliegende Erfindung auch für Fälle in Frage, in welchen das Substrat ein geschichteter Halbleiter wie zum Beispiel Si/SiGe, Si/SiC, Silicium-auf-Isolatoren (SOIs) oder Silicium-Germanium-auf-Isolatoren (SGOIs) ist. Außerdem kann das Substrat 300 einkristallin, polykristallin, amorph sein oder eine Kombination aus mindestens zwei von einem einkristallinen Teil, einem polykristallinen Teil und einem amorphen Teil aufweisen.
  • Jedes geeignete dielektrische Material kann für die dielektrischen Materialien der Schichten x15 und x25 verwendet werden (wobei 'x für die entsprechende Figur-Nummer steht), und das Material, das für x15 verwendet wird, kann gleich oder anders sein als das für x25. Das dielektrische Material kann eine dielektrische Konstante kleiner als 3,9 aufweisen, oder bei sogenannten dielektrischen 'low-k'-Materialien zwischen 2,5 und 3,0, oder bei sogenannten 'ultra-low-k'-Materialien sogar niedriger als 2,2 sein. Das dielektrische Material kann ein aktuell bekanntes oder später entwickeltes poröses oder nicht poröses dielektrisches Material wie z. B. Siliciumoxid (SiC), Siliciumnitrid (Si3N4), hydriertes Siliciumoxycarbid (SiCOH), Silsesquioxane, mit Kohlenstoff dotierte Oxide (d. h., Organosilicate), die Silicium(Si)-, Kohlenstoff(C)-, Sauerstoff(O)- und/oder Wasserstoff(H)-Atome enthalten, wärmehärtbare Polyarylenether, SiLKTM (ein von Dow Chemical Corporation erhältlicher Polyarylenether), ein von der JSR Corporation erhältliches Aufschleuder-Silicium-Kohlenstoff enthaltendes Polymermaterial und andere Materialien mit niedriger dielektrischer Konstante oder Schichten davon sein.
  • Eine dielektrische Sperrschicht oder Deckschicht kann über jeder der dielektrischen Materialschichten angeordnet sein. Das Material, das für jede Deckschicht x19 oder x29 verwendet wird, ist typischerweise gewählt, um den Ätz- und Reinigungsprozessen für die unmittelbar darüberliegenden Materialien standzuhalten. Deckschichten können aus dem gleichen oder anderen Materialien sein. Typische Materialien für die Deckschicht schließen ein aktuell bekanntes oder später entwickeltes Dielektrikum wie z. B. ein Siliciumcarbid (SiC), Siliciumnitrid (Si3N4), Siliciumdioxid (SiO2) und mit Stickstoff oder Wasserstoff dotiertes Siliciumcarbid (SiC(N,H)) ein.
  • Ein geeignetes leitfähiges Material kann für die Leiter x12, x22 und x24 verwendet werden, und jeder dieser Leiter kann aus dem gleichen Material oder verschiedenen Materialien sein. Typische leitfähige Materialien schließen Kupfer (Cu), Aluminium (Al), Wolfram (W), Silber (Ag), Gold (Au) und Legierungen ein.
  • Auch wenn die vorliegende Erfindung insbesondere in Bezug auf bevorzugte oder alternative Ausführungsformen dargestellt und beschrieben wurde, versteht es sich für den Fachmann, dass weitere Alternativen möglich sind und realisiert werden können, ohne vom Umfang der vorliegenden Erfindung abzuweichen. Deshalb ist beabsichtigt, dass die vorliegende Erfindung nicht auf die exakten Formen und Details zu beschränken ist, die beschrieben und dargestellt wurden.

Claims (25)

  1. BEOL-E-Sicherung, aufweisend: einen leitfähigen Durchkontakt, der zwischen einem als Kathode geschalteten ersten leitfähigen BEOL-Strukturelement und einem als Anode geschalteten zweiten BEOL-Strukturelement verbunden ist, wobei der leitfähige Durchkontakt eine sublithografische Abmessung hat.
  2. BEOL-E-Sicherung nach Anspruch 1, wobei der leitfähige Durchkontakt in einem Durchkontakt-Hohlraum gebildet ist und der Durchkontakt-Hohlraum eine sublithografische Abmessung hat.
  3. BEOL-E-Sicherung nach Anspruch 2, wobei das zweite BEOL-Strukturelement in einem Graben gebildet ist und eine Seitenwand des Durchkontakt-Hohlraums koplanar zu einer Seitenwand des Grabens ist.
  4. BEOL-E-Sicherung nach Anspruch 1, wobei der leitfähige Durchkontakt das erste leitfähige BEOL-Strukturelement vollständig überlagert.
  5. BEOL-E-Sicherung nach Anspruch 1, wobei das erste leitfähige BEOL-Strukturelement in einer ersten Verbindungsschicht liegt und das zweite BEOL-Strukturelement in einer zweiten Verbindungsschicht liegt, die über der ersten Verbindungsschicht gebildet ist.
  6. BEOL-E-Sicherung nach Anspruch 1, außerdem aufweisend einen Hohlraum in dem leitfähigen Durchkontakt.
  7. BEOL-E-Sicherung nach Anspruch 1, wobei das erste leitfähige BEOL-Strukturelement eine Groundrule-Breite aufweist.
  8. BEOL-E-Sicherung nach Anspruch 1, wobei das zweite BEOL-Strukturelement eine Groundrule-Breite aufweist.
  9. BEOL-E-Sicherung nach Anspruch 1, wobei das erste leitfähige BEOL-Strukturelement in M1 oder M2 gebildet ist.
  10. BEOL-E-Sicherung nach Anspruch 2, wobei der Durchkontakt-Hohlraum einen ersten Hohlraum mit einer Sub-Groundrule-Breite aufweist, der mit einem zweiten Hohlraum gestapelt ist.
  11. Lithografiemasken-Paar zum Definieren von Mx+1-Leitungen und Vx-Durchkontakten, wobei das Paar aufweist: eine erste Maske des Paars, die eine erste Grabenstruktur verkörpert, und eine zweite Maske des Paars, die eine erste Durchkontaktstruktur verkörpert, wobei die erste Durchkontaktstruktur so positioniert ist, dass sie die erste Grabenstruktur nur partiell überlappt.
  12. Struktur nach Anspruch 11, wobei die erste Maske außerdem eine zweite Grabenstruktur verkörpert, die zweite Maske außerdem eine zweite Durchkontaktstruktur verkörpert, und die zweite Durchkontaktstruktur so positioniert ist, dass sie die zweite Grabenstruktur vollständig überlappt.
  13. Struktur nach Anspruch 11, wobei die erste Durchkontaktstruktur so positioniert ist, dass sie eine Seite der ersten Grabenstruktur partiell überlappt.
  14. Struktur nach Anspruch 11, wobei die erste Durchkontaktstruktur so positioniert ist, dass sie ein Ende der ersten Grabenstruktur partiell überlappt.
  15. Verfahren, aufweisend: Bereitstellen einer Struktur, die eine Hartmaske über einer ersten Dielektrikumsschicht einschließt; (a) Bilden einer ersten Öffnung durch die Hartmaske, (b) Strukturieren einer zweiten Öffnung, welche die erste Öffnung partiell überlappt, um einen Überlappungsabschnitt zu definieren, wobei der Überlappungsabschnitt eine Sub-Groundrule-Abmessung aufweist, (c) Ätzen des Überlappungsabschnitts, um einen Durchkontakt-Hohlraum zu bilden.
  16. Verfahren nach Anspruch 15, wobei die Hartmaske auch über einer zweiten Dielektrikumsschicht angeordnet ist, wobei die zweite Dielektrikumsschicht über einer leitfähigen Leitung angeordnet ist, die in der ersten Dielektrikumsschicht gebildet ist, wobei das Verfahren außerdem ein Bilden eines Grabens durch Ätzen entsprechend der ersten Öffnung aufweist.
  17. Verfahren nach Anspruch 15, wobei die zweite Öffnung eine Groundrule-Abmessung aufweist.
  18. Verfahren nach Anspruch 16, wobei der Graben eine Groundrule-Abmessung aufweist.
  19. Verfahren nach Anspruch 15, außerdem aufweisend ein Positionieren der zweiten Öffnung, um den Überlappungsabschnitt einem Sollprogrammierstrom entsprechend zu bilden.
  20. Verfahren nach Anspruch 16, wobei das Verfahren außerdem ein Freilegen der leitfähigen Leitung an der Unterseite des Durchkontakt-Hohlraums aufweist.
  21. Verfahren nach Anspruch 16, außerdem aufweisend ein Bilden des Grabens und des Durchkontakt-Hohlraums durch einen Dual-Damascene-Prozess.
  22. Verfahren nach Anspruch 21, wobei die leitfähige Leitung als Kathode geschaltet ist und ein Strukturelement, das durch Füllen des Grabens gebildet wird, als Anode geschaltet ist.
  23. Verfahren nach Anspruch 21, außerdem aufweisend ein Füllen des Grabens und des Durchkontakt-Hohlraums mit Kupfer durch CVD oder Elektroplattierung.
  24. Verfahren zum Programmieren einer BEOL-E-Sicherung, aufweisend, Bereitstellen einer BEOL-Struktur, die einen sublithografischen Durchkontakt einschließt, der zwischen einem als Kathode geschalteten ersten leitfähigen Strukturelement und einem als Anode geschalteten zweiten leitfähigen Strukturelement verbunden ist; und Bilden eines Hohlraums in dem sublithografischen Durchkontakt durch Anlegen eines Stroms zwischen der Anode und der Kathode.
  25. Verfahren nach Anspruch 24, wobei mindestens eines von dem ersten leitfähigen Strukturelement und dem zweiten leitfähigen Strukturelement eine Groundrule-Abmessung aufweist.
DE112013000362.7T 2012-01-11 2013-01-10 Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung Active DE112013000362B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/348,011 2012-01-11
US13/348,011 US9685404B2 (en) 2012-01-11 2012-01-11 Back-end electrically programmable fuse
PCT/US2013/020930 WO2013106502A1 (en) 2012-01-11 2013-01-10 Back-end electrically programmable fuse

Publications (2)

Publication Number Publication Date
DE112013000362T5 true DE112013000362T5 (de) 2014-10-02
DE112013000362B4 DE112013000362B4 (de) 2019-10-17

Family

ID=48743495

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112013000362.7T Active DE112013000362B4 (de) 2012-01-11 2013-01-10 Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung

Country Status (5)

Country Link
US (2) US9685404B2 (de)
CN (1) CN104040711B (de)
DE (1) DE112013000362B4 (de)
GB (1) GB2512009B (de)
WO (1) WO2013106502A1 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US9059170B2 (en) * 2013-02-06 2015-06-16 International Business Machines Corporation Electronic fuse having a damaged region
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
KR102122593B1 (ko) * 2013-10-22 2020-06-15 삼성전자주식회사 반도체 소자
US9679845B2 (en) * 2014-05-08 2017-06-13 Intel Corporation Necked interconnect fuse structure for integrated circuits
KR20160139420A (ko) 2015-05-27 2016-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9576899B2 (en) 2015-06-23 2017-02-21 Globalfoundries Inc. Electrical fuse with high off resistance
US9659862B1 (en) * 2015-11-09 2017-05-23 Globalfoundries Inc. Method, apparatus, and system for e-fuse in advanced CMOS technologies
US9666528B1 (en) 2016-02-23 2017-05-30 International Business Machines Corporation BEOL vertical fuse formed over air gap
US9786596B2 (en) * 2016-03-09 2017-10-10 International Business Machines Corporation Fuse formed from III-V aspect ratio structure
KR102460076B1 (ko) 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치
US10615119B2 (en) 2017-12-12 2020-04-07 International Business Machines Corporation Back end of line electrical fuse structure and method of fabrication
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory
US10770393B2 (en) * 2018-03-20 2020-09-08 International Business Machines Corporation BEOL thin film resistor
US10811353B2 (en) * 2018-10-22 2020-10-20 International Business Machines Corporation Sub-ground rule e-Fuse structure
US10879107B2 (en) * 2018-11-05 2020-12-29 International Business Machines Corporation Method of forming barrier free contact for metal interconnects
US11121082B2 (en) 2019-04-17 2021-09-14 International Business Machines Corporation Sub-ground rule e-Fuse structure
US10971447B2 (en) 2019-06-24 2021-04-06 International Business Machines Corporation BEOL electrical fuse
CN110323181B (zh) * 2019-07-17 2021-08-24 武汉新芯集成电路制造有限公司 一种半导体器件的制造方法
KR20210018669A (ko) * 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
US11908731B2 (en) 2021-05-13 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Via-first self-aligned interconnect formation process

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US6107204A (en) * 1998-10-02 2000-08-22 Advanced Micro Devices, Inc. Method to manufacture multiple damascene by utilizing etch selectivity
US6060380A (en) * 1998-11-06 2000-05-09 Advanced Micro Devices, Inc. Antireflective siliconoxynitride hardmask layer used during etching processes in integrated circuit fabrication
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
US20030089987A1 (en) 1999-02-05 2003-05-15 Suketu A. Parikh Dual damascene misalignment tolerant techniques for vias and sacrificial etch segments
US6252292B1 (en) 1999-06-09 2001-06-26 International Business Machines Corporation Vertical electrical cavity-fuse
US6399284B1 (en) 1999-06-18 2002-06-04 Advanced Micro Devices, Inc. Sub-lithographic contacts and vias through pattern, CVD and etch back processing
TW477019B (en) 2000-09-15 2002-02-21 Promos Technologies Inc Via chain structure and process with testing potential
US6524947B1 (en) 2001-02-01 2003-02-25 Advanced Micro Devices, Inc. Slotted trench dual inlaid structure and method of forming thereof
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
KR100558493B1 (ko) 2003-12-03 2006-03-07 삼성전자주식회사 반도체 기억소자의 배선 형성방법
US7709880B2 (en) 2004-06-09 2010-05-04 Nantero, Inc. Field effect devices having a gate controlled via a nanotube switching element
US20050285222A1 (en) * 2004-06-29 2005-12-29 Kong-Beng Thei New fuse structure
US7388273B2 (en) 2005-06-14 2008-06-17 International Business Machines Corporation Reprogrammable fuse structure and method
JP4861051B2 (ja) 2006-05-09 2012-01-25 ルネサスエレクトロニクス株式会社 半導体装置および電気ヒューズの切断方法
US7772581B2 (en) 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7521358B2 (en) 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US7741721B2 (en) 2007-07-31 2010-06-22 International Business Machines Corporation Electrical fuses and resistors having sublithographic dimensions
US7633079B2 (en) 2007-09-06 2009-12-15 International Business Machines Corporation Programmable fuse/non-volatile memory structures in BEOL regions using externally heated phase change material
US7696542B2 (en) 2008-01-22 2010-04-13 International Business Machines Corporation Anisotropic stress generation by stress-generating liners having a sublithographic width
US20090200674A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Structure and method of forming transitional contacts between wide and thin beol wirings
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US8288276B2 (en) 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US9685404B2 (en) 2012-01-11 2017-06-20 International Business Machines Corporation Back-end electrically programmable fuse

Also Published As

Publication number Publication date
CN104040711A (zh) 2014-09-10
CN104040711B (zh) 2017-10-03
US20160027733A1 (en) 2016-01-28
US9685404B2 (en) 2017-06-20
GB2512009A (en) 2014-09-17
WO2013106502A1 (en) 2013-07-18
GB201412533D0 (en) 2014-08-27
US20130176073A1 (en) 2013-07-11
DE112013000362B4 (de) 2019-10-17
GB2512009B (en) 2015-02-25
US9893011B2 (en) 2018-02-13

Similar Documents

Publication Publication Date Title
DE112013000362B4 (de) Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE10318299B4 (de) Verfahren zur Bildung einer Doppeldamaszener-Zwischenverbindung
DE112011103278B4 (de) Elektronische Sicherung und programmierte elektronische Sicherung
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102014109444A1 (de) Vorrichtung und Verfahren zur Verringerung des Kontaktwiderstands eines Metalls
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE112013006022T5 (de) Zwischenverbindungen aus Graphen und Metall
DE102017124119B4 (de) Verfahren zur Ätzprofilsteuerung von Verbindungsstrukturen und integrierte Schaltung
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102019113416A1 (de) Durchkontaktierungs-Aufsetzverbesserung für Speicherbauelement
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE112020003222B4 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE10240405B4 (de) Verfahren zum Ausbilden einer selbstjustierten Antifuse-Verbindung
DE112012002648B4 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE102014116262B4 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102008045036B4 (de) Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
DE102010028463B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit komplexen leitenden Elementen in einem dielektrischen Materialsystem unter Anwendung einer Barrierenschicht und Halbleiterbauelement diese aufweisend

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence
R020 Patent grant now final